summaryrefslogtreecommitdiff
path: root/hw/library/apds.lib
diff options
context:
space:
mode:
authorUros Majstorovic <majstor@majstor.org>2024-02-02 22:19:47 +0100
committerUros Majstorovic <majstor@majstor.org>2024-02-02 22:19:47 +0100
commitb8a48e462d7bd55472bf4d1b5047d1d9ae57aec3 (patch)
tree1af5bb8312cd7263608e8cf957f8e85cda3864e0 /hw/library/apds.lib
parentbcfdebb5a6bf71c2b0396741e0dd27bbf9323ff5 (diff)
fixed position of camera adapter; added lte w_disable signal to wifi module; added jumpered uart_dis signal derived from fxl.io2; fixed breakout; fixed esp32-s3 pin mapping;
Diffstat (limited to 'hw/library/apds.lib')
-rw-r--r--hw/library/apds.lib24
1 files changed, 24 insertions, 0 deletions
diff --git a/hw/library/apds.lib b/hw/library/apds.lib
new file mode 100644
index 0000000..5d79519
--- /dev/null
+++ b/hw/library/apds.lib
@@ -0,0 +1,24 @@
+EESchema-LIBRARY Version 2.4
+#encoding utf-8
+#
+# APDS-9151
+#
+DEF APDS-9151 U 0 40 Y Y 1 F N
+F0 "U" 0 300 50 H V C CNN
+F1 "APDS-9151" 0 -300 50 H V C CNN
+F2 "" -200 0 50 H I C CNN
+F3 "" -200 0 50 H I C CNN
+DRAW
+S -250 250 250 -250 0 1 0 N
+X GND 1 -350 -200 100 R 50 50 1 1 W
+X INT 2 350 0 100 L 50 50 1 1 C
+X LDR 3 350 100 100 L 50 50 1 1 w
+X LEDK 4 350 200 100 L 50 50 1 1 W
+X LEDA 5 -350 0 100 R 50 50 1 1 W
+X VDD 6 -350 200 100 R 50 50 1 1 W
+X SDA 7 350 -200 100 L 50 50 1 1 B
+X SCL 8 350 -100 100 L 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
+#End Library