summaryrefslogtreecommitdiff
path: root/code/test/init_vconn.c
diff options
context:
space:
mode:
authorUros Majstorovic <majstor@majstor.org>2018-01-20 19:15:13 +0100
committerUros Majstorovic <majstor@majstor.org>2018-01-20 19:15:13 +0100
commit30b62efc8f044a7fd00e21c56490aefa32686a52 (patch)
treed6574ec84c9213c5739dae91953f0bac81593c9e /code/test/init_vconn.c
parent3e50c4990f4703e2c04d0f959d33e36504d43716 (diff)
added client timing test
Diffstat (limited to 'code/test/init_vconn.c')
-rw-r--r--code/test/init_vconn.c4
1 files changed, 2 insertions, 2 deletions
diff --git a/code/test/init_vconn.c b/code/test/init_vconn.c
index 29c8db5..7e3dd04 100644
--- a/code/test/init_vconn.c
+++ b/code/test/init_vconn.c
@@ -31,12 +31,12 @@ static void conn_free(ECPConnection *conn) {
int ecp_init(ECPContext *ctx) {
int rv;
- rv = ecp_ctx_create(ctx);
+ rv = ecp_ctx_create_vconn(ctx);
if (rv) return rv;
ctx->rng = v_rng;
ctx->conn_alloc = conn_alloc;
ctx->conn_free = conn_free;
- return ecp_ctx_vconn_init(ctx);
+ return ECP_OK;
} \ No newline at end of file