summaryrefslogtreecommitdiff
path: root/hw/rvPhone/rvPhone.net
diff options
context:
space:
mode:
authorUros Majstorovic <majstor@majstor.org>2020-12-04 06:55:06 +0100
committerUros Majstorovic <majstor@majstor.org>2020-12-04 06:55:06 +0100
commit3a855f8235295e9091342ced6074d3bab0d79677 (patch)
treeb3276de041f5fdafc18aa0fcb27a372c9a50beb5 /hw/rvPhone/rvPhone.net
parent90338a896c8c17e9e3a8e0cc3334bb6a8db85720 (diff)
stereo audio out; lvds display; LSM9DS1 added
Diffstat (limited to 'hw/rvPhone/rvPhone.net')
-rw-r--r--hw/rvPhone/rvPhone.net5582
1 files changed, 2896 insertions, 2686 deletions
diff --git a/hw/rvPhone/rvPhone.net b/hw/rvPhone/rvPhone.net
index d8ca2b3..7c67c9f 100644
--- a/hw/rvPhone/rvPhone.net
+++ b/hw/rvPhone/rvPhone.net
@@ -1,8 +1,8 @@
(export (version D)
(design
- (source /Users/majstor/Documents/repo/hw/rvPhone/rvPhone.sch)
- (date "Wednesday, August 05, 2020 at 12:22:57 AM")
- (tool "Eeschema (5.1.0-0)")
+ (source /Volumes/rvPhone/repo/hw/rvPhone/rvPhone.sch)
+ (date "Friday, December 04, 2020 at 06:48:53 AM")
+ (tool "Eeschema (5.1.6-0-10_14)")
(sheet (number 1) (name /) (tstamps /)
(title_block
(title MyPhone)
@@ -14,7 +14,7 @@
(comment (number 2) (value ""))
(comment (number 3) (value ""))
(comment (number 4) (value ""))))
- (sheet (number 2) (name /periph/) (tstamps /5C4F2697/)
+ (sheet (number 2) (name /periph/) (tstamps /619DBCF0/)
(title_block
(title)
(company)
@@ -25,7 +25,7 @@
(comment (number 2) (value ""))
(comment (number 3) (value ""))
(comment (number 4) (value ""))))
- (sheet (number 3) (name /exp/) (tstamps /5C8B7D35/)
+ (sheet (number 3) (name /exp/) (tstamps /61A17BF3/)
(title_block
(title)
(company)
@@ -35,6 +35,17 @@
(comment (number 1) (value ""))
(comment (number 2) (value ""))
(comment (number 3) (value ""))
+ (comment (number 4) (value ""))))
+ (sheet (number 4) (name /disp/) (tstamps /5FC4BBBD/)
+ (title_block
+ (title)
+ (company)
+ (rev)
+ (date)
+ (source disp.sch)
+ (comment (number 1) (value ""))
+ (comment (number 2) (value ""))
+ (comment (number 3) (value ""))
(comment (number 4) (value "")))))
(components
(comp (ref C32)
@@ -73,24 +84,6 @@
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5B734CBF))
- (comp (ref R15)
- (value 1K)
- (footprint Resistor_SMD:R_0603_1608Metric)
- (libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /) (tstamps /))
- (tstamp 5B79FA0E))
- (comp (ref BZ1)
- (value Buzzer)
- (footprint footprints:Buzzer_12x9.5RM5.0)
- (libsource (lib Device) (part Buzzer) (description "Buzzer, polarized"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5B7D5370))
- (comp (ref R16)
- (value 1K)
- (footprint Resistor_SMD:R_0603_1608Metric)
- (libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /) (tstamps /))
- (tstamp 5B7D55AC))
(comp (ref C30)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
@@ -127,13 +120,13 @@
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
(sheetpath (names /) (tstamps /))
(tstamp 5B8B4F0E))
- (comp (ref C28)
+ (comp (ref C26)
(value 12pF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
(sheetpath (names /) (tstamps /))
(tstamp 5BE3076D))
- (comp (ref C27)
+ (comp (ref C25)
(value 12pF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
@@ -145,31 +138,31 @@
(libsource (lib Device) (part Crystal_GND3_Small) (description "Three pin crystal, GND on pin 3, small symbol"))
(sheetpath (names /) (tstamps /))
(tstamp 5BE30773))
- (comp (ref C17)
+ (comp (ref C16)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
(sheetpath (names /) (tstamps /))
(tstamp 5BE30776))
- (comp (ref C18)
+ (comp (ref C17)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
(sheetpath (names /) (tstamps /))
(tstamp 5BE30777))
- (comp (ref C26)
+ (comp (ref C24)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
(sheetpath (names /) (tstamps /))
(tstamp 5BE30778))
- (comp (ref C25)
+ (comp (ref C23)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
(sheetpath (names /) (tstamps /))
(tstamp 5BE30779))
- (comp (ref C16)
+ (comp (ref C15)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
@@ -181,31 +174,25 @@
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5BE3077F))
- (comp (ref C21)
+ (comp (ref C20)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
(sheetpath (names /) (tstamps /))
(tstamp 5BE30781))
- (comp (ref C19)
+ (comp (ref C18)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
(sheetpath (names /) (tstamps /))
(tstamp 5BE30782))
- (comp (ref C23)
+ (comp (ref C22)
(value 10uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
(sheetpath (names /) (tstamps /))
(tstamp 5BE30786))
- (comp (ref U6)
- (value IS25LP128-JBLE)
- (footprint Package_SO:SOIJ-8_5.3x5.3mm_P1.27mm)
- (libsource (lib is25lp128) (part IS25LP128) (description ""))
- (sheetpath (names /) (tstamps /))
- (tstamp 5BE30789))
- (comp (ref C24)
+ (comp (ref C27)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
@@ -223,7 +210,7 @@
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5BE30798))
- (comp (ref C22)
+ (comp (ref C21)
(value 10uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
@@ -235,24 +222,12 @@
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
(sheetpath (names /) (tstamps /))
(tstamp 5BE3079E))
- (comp (ref X1)
- (value SiT1533)
- (footprint footprints:SiT1533)
- (libsource (lib sit1533) (part SiT1533) (description ""))
- (sheetpath (names /) (tstamps /))
- (tstamp 5BE307A8))
(comp (ref C13)
(value 10nF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
(sheetpath (names /) (tstamps /))
(tstamp 5BE30796))
- (comp (ref U1)
- (value BQ25895)
- (footprint Package_DFN_QFN:Texas_S-PWQFN-N24_EP2.7x2.7mm_ThermalVias)
- (libsource (lib texas-tps) (part BQ25895) (description ""))
- (sheetpath (names /) (tstamps /))
- (tstamp 5BF81B7A))
(comp (ref R1)
(value 260R)
(footprint Resistor_SMD:R_0603_1608Metric)
@@ -355,43 +330,25 @@
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5C1099A1))
- (comp (ref U11)
- (value ICS-43434)
- (footprint footprints:TDK_ICS-43434)
- (libsource (lib ics-43434) (part ICS-43434) (description ""))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C10C98D))
(comp (ref C33)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5C10F095))
- (comp (ref U12)
- (value MAX98357A)
- (footprint footprints:TQFN-16-1EP_3x3mm_P0.5mm_EP1.23x1.23mm_ThermalVias)
- (libsource (lib max98357) (part MAX98357) (description ""))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C117EAC))
- (comp (ref C34)
+ (comp (ref C35)
(value 10uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5C12EC7F))
- (comp (ref C35)
+ (comp (ref C34)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5C12F0FD))
- (comp (ref J3)
- (value SJ-3571N)
- (footprint footprints:CUI_SJ-3571N)
- (libsource (lib cui-sj) (part SJ-3571N) (description ""))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C130E52))
- (comp (ref R13)
+ (comp (ref R28)
(value 100K)
(footprint Resistor_SMD:R_0603_1608Metric)
(libsource (lib Device) (part R) (description Resistor))
@@ -403,217 +360,37 @@
(libsource (lib Device) (part Speaker) (description Speaker))
(sheetpath (names /) (tstamps /))
(tstamp 5C13DFDB))
- (comp (ref C36)
- (value 220pF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C13FF9F))
- (comp (ref C37)
- (value 220pF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C140128))
- (comp (ref U14)
- (value FAN5333BSX)
- (footprint Package_TO_SOT_SMD:SOT-23-5)
- (libsource (lib fan5333) (part FAN5333) (description ""))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C130231))
- (comp (ref D2)
- (value MBR0540)
- (footprint Diode_SMD:D_SOD-123)
- (libsource (lib Device) (part D_Schottky) (description "Schottky diode"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C1306C7))
- (comp (ref D3)
- (value 3SMAJ5935B-TP)
- (footprint Diode_SMD:D_SMA)
- (libsource (lib Device) (part D_Zener) (description "Zener diode"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C130C50))
- (comp (ref C48)
- (value 1uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C131A7F))
- (comp (ref C49)
- (value 1uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C131E14))
- (comp (ref L4)
- (value 10uH)
- (footprint footprints:L_Murata_LQH66SN)
- (libsource (lib Device) (part L) (description Inductor))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C13261E))
- (comp (ref R23)
- (value 47K)
- (footprint Resistor_SMD:R_0603_1608Metric)
- (libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C13326A))
- (comp (ref C51)
- (value 27pF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C133743))
- (comp (ref C50)
- (value 10uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C1339C2))
- (comp (ref R24)
- (value 22R)
- (footprint Resistor_SMD:R_0603_1608Metric)
- (libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C1385DB))
- (comp (ref R25)
- (value 15R)
- (footprint Resistor_SMD:R_0603_1608Metric)
- (libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C138792))
- (comp (ref C52)
- (value 0.1uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C138947))
- (comp (ref C53)
- (value 27pF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C138B00))
- (comp (ref R26)
- (value R)
- (footprint Resistor_SMD:R_0603_1608Metric)
- (libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C13C353))
- (comp (ref U13)
- (value FT813Q)
- (footprint Package_DFN_QFN:QFN-56-1EP_8x8mm_P0.5mm_EP5.6x5.6mm_ThermalVias)
- (libsource (lib ft81x) (part FT813Q) (description ""))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C1407B6))
- (comp (ref Y2)
- (value 12MHz)
- (footprint footprints:TXC_7M)
- (libsource (lib Device) (part Crystal_GND3_Small) (description "Three pin crystal, GND on pin 3, small symbol"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C14191D))
- (comp (ref C38)
- (value 18pF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C142904))
- (comp (ref C39)
- (value 18pF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C142DE8))
- (comp (ref C45)
- (value 0.1uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C145073))
- (comp (ref C40)
- (value 0.1uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C145286))
- (comp (ref C43)
- (value 0.1uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C145960))
- (comp (ref C41)
- (value 0.1uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C145CA6))
- (comp (ref C47)
- (value 0.1uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C1461C1))
- (comp (ref C46)
- (value 4.7uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C146701))
- (comp (ref C44)
- (value 27pF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C149A25))
- (comp (ref C42)
- (value 27pF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C149C18))
- (comp (ref R27)
- (value 47K)
- (footprint Resistor_SMD:R_0603_1608Metric)
- (libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C15B792))
- (comp (ref R28)
- (value 47K)
- (footprint Resistor_SMD:R_0603_1608Metric)
- (libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C15B9CE))
(comp (ref R22)
(value 100K)
(footprint Resistor_SMD:R_0603_1608Metric)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5C36A8BA))
- (comp (ref R14)
+ (comp (ref R29)
(value 100K)
(footprint Resistor_SMD:R_0603_1608Metric)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5C41CE83))
- (comp (ref R8)
+ (comp (ref R5)
(value 560K)
(footprint Resistor_SMD:R_0603_1608Metric)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5C4B7E26))
- (comp (ref R9)
+ (comp (ref R6)
(value 180K)
(footprint Resistor_SMD:R_0603_1608Metric)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5C4B807C))
- (comp (ref R5)
+ (comp (ref R13)
(value 10K)
(footprint Resistor_SMD:R_0603_1608Metric)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5C4CB4E2))
- (comp (ref R6)
+ (comp (ref R14)
(value 10K)
(footprint Resistor_SMD:R_0603_1608Metric)
(libsource (lib Device) (part R) (description Resistor))
@@ -625,31 +402,7 @@
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5C4CB990))
- (comp (ref U2)
- (value TPS62293)
- (footprint footprints:TPS62290)
- (libsource (lib texas-tps) (part TPS62290) (description ""))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C4C0818))
- (comp (ref U3)
- (value TPS630250)
- (footprint footprints:TPS630250)
- (libsource (lib texas-tps) (part TPS630250) (description ""))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C4C0B00))
- (comp (ref R29)
- (value 47K)
- (footprint Resistor_SMD:R_0603_1608Metric)
- (libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C6D56FE))
- (comp (ref C54)
- (value 0.1uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C8395DF))
- (comp (ref R35)
+ (comp (ref R8)
(value 100K)
(footprint Resistor_SMD:R_0603_1608Metric)
(libsource (lib Device) (part R) (description Resistor))
@@ -662,13 +415,6 @@
(libsource (lib Connector) (part USB_B_Micro) (description "USB Micro Type B connector"))
(sheetpath (names /) (tstamps /))
(tstamp 5CB8DC66))
- (comp (ref Q1)
- (value MMBT3906)
- (footprint Package_TO_SOT_SMD:SOT-23)
- (datasheet https://www.fairchildsemi.com/datasheets/2N/2N3906.pdf)
- (libsource (lib Transistor_BJT) (part MMBT3906) (description "-0.2A Ic, -40V Vce, Small Signal PNP Transistor, SOT-23"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5CC44191))
(comp (ref SW1)
(value RESET)
(footprint footprints:Panasonic_EVPBB)
@@ -718,19 +464,13 @@
(libsource (lib 74xx) (part 74HC00) (description "quad 2-input NAND gate"))
(sheetpath (names /) (tstamps /))
(tstamp 5DBCC5CF))
- (comp (ref U5)
- (value E310-G002)
- (footprint Package_DFN_QFN:QFN-48-1EP_6x6mm_P0.4mm_EP4.2x4.2mm_ThermalVias)
- (libsource (lib e310-g000) (part E310-G002) (description ""))
- (sheetpath (names /) (tstamps /))
- (tstamp 60D9C6A5))
- (comp (ref C20)
+ (comp (ref C19)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
(sheetpath (names /) (tstamps /))
(tstamp 5BE30780))
- (comp (ref R36)
+ (comp (ref R9)
(value 100K)
(footprint Resistor_SMD:R_0603_1608Metric)
(datasheet ~)
@@ -751,762 +491,1148 @@
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5DD3726C))
- (comp (ref U4)
- (value TMUX154E)
- (footprint Package_DFN_QFN:UQFN-10_1.3x1.8mm_P0.4mm)
- (libsource (lib tmux) (part TMUX154E) (description ""))
+ (comp (ref R15)
+ (value 100K)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
- (tstamp 5E1882BC))
- (comp (ref C15)
+ (tstamp 5B79FA0E))
+ (comp (ref C28)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5E18AA2D))
- (comp (ref U201)
- (value ESP32-WROOM)
- (footprint footprints:ESP32-WROOM)
- (libsource (lib esp32) (part ESP32-WROOM) (description ""))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
- (tstamp 5C4F27BE))
+ (comp (ref R16)
+ (value 100K)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 5B7D55AC))
+ (comp (ref C41)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 60140109))
+ (comp (ref C37)
+ (value 10uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 604CD9E4))
+ (comp (ref C36)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 604CD9EE))
+ (comp (ref R24)
+ (value 210K)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 607FF04C))
+ (comp (ref R23)
+ (value 2K)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 60534525))
+ (comp (ref LS2)
+ (value Speaker)
+ (footprint Connector_PinHeader_1.27mm:PinHeader_1x02_P1.27mm_Vertical)
+ (libsource (lib Device) (part Speaker) (description Speaker))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 607BC16D))
+ (comp (ref C39)
+ (value 10uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 6108BD1C))
+ (comp (ref C38)
+ (value 10uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 6108DADE))
+ (comp (ref R25)
+ (value 47K)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 6127237F))
+ (comp (ref R26)
+ (value 47K)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 6127308F))
+ (comp (ref C40)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 634A5955))
+ (comp (ref R27)
+ (value 100K)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 61D66A90))
+ (comp (ref U4)
+ (value E310-G002)
+ (footprint Package_DFN_QFN:QFN-48-1EP_6x6mm_P0.4mm_EP4.2x4.2mm_ThermalVias)
+ (libsource (lib sifive) (part E310-G002) (description ""))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 613C7F10))
+ (comp (ref U11)
+ (value ICS-43434)
+ (footprint footprints:ICS-43434)
+ (libsource (lib ics) (part ICS-43434) (description ""))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 6142487D))
+ (comp (ref J3)
+ (value SJ-3571N)
+ (footprint footprints:SJ-3571N)
+ (libsource (lib cui) (part SJ-3571N) (description ""))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 6147BD8C))
+ (comp (ref U12)
+ (value MAX98357A)
+ (footprint footprints:TQFN-16-1EP_3x3mm_P0.5mm_EP1.23x1.23mm_ThermalVias)
+ (libsource (lib max) (part MAX98357) (description ""))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 6157FD5C))
+ (comp (ref U13)
+ (value MAX98357A)
+ (footprint footprints:TQFN-16-1EP_3x3mm_P0.5mm_EP1.23x1.23mm_ThermalVias)
+ (libsource (lib max) (part MAX98357) (description ""))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 615816F9))
+ (comp (ref U5)
+ (value IS25LP128-JKLE)
+ (footprint Package_SON:WSON-8-1EP_6x5mm_P1.27mm_EP3.4x4mm)
+ (libsource (lib issi) (part IS25LP128-JKLE) (description ""))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 615D9E36))
+ (comp (ref X1)
+ (value SiT1533)
+ (footprint footprints:SiT1533)
+ (libsource (lib sit) (part SiT1533) (description ""))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 616DC275))
+ (comp (ref U1)
+ (value BQ25895)
+ (footprint Package_DFN_QFN:Texas_S-PWQFN-N24_EP2.7x2.7mm_ThermalVias)
+ (libsource (lib bq) (part BQ25895) (description ""))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 617FF244))
+ (comp (ref U2)
+ (value TPS62293)
+ (footprint footprints:TPS62290)
+ (libsource (lib tps) (part TPS62293) (description ""))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 6199CF89))
+ (comp (ref U3)
+ (value TPS630250)
+ (footprint footprints:TPS630250)
+ (libsource (lib tps) (part TPS630250) (description ""))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 619F3399))
+ (comp (ref U6)
+ (value TMUX1574RSV)
+ (footprint footprints:UQFN-16_1.8x2.6mm_P0.4mm)
+ (libsource (lib tmux) (part TMUX1574RSV) (description ""))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 62085FE5))
+ (comp (ref U14)
+ (value SN74LVC1G04DRY)
+ (footprint footprints:USON-6_1.45x1mm_P0.5mm)
+ (libsource (lib sn74) (part SN74LVC1G04DRY) (description ""))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 62226BE8))
+ (comp (ref U15)
+ (value SN74LVC1G3157DRY)
+ (footprint footprints:USON-6_1.45x1mm_P0.5mm)
+ (libsource (lib sn74) (part SN74LVC1G3157DRY) (description ""))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 6222C871))
(comp (ref C201)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C506C38))
(comp (ref C202)
(value 10uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C506C85))
(comp (ref C203)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C506CD9))
(comp (ref R201)
(value 10K)
(footprint Resistor_SMD:R_0603_1608Metric)
(libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C506D6E))
- (comp (ref C205)
+ (comp (ref C215)
(value 33pF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C509A01))
- (comp (ref C206)
+ (comp (ref C216)
(value 33pF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C509A5E))
- (comp (ref C207)
+ (comp (ref C217)
(value 33pF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C509ABF))
- (comp (ref C208)
- (value 33pF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
- (tstamp 5C509B24))
- (comp (ref C204)
+ (comp (ref C214)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C509B8D))
- (comp (ref R203)
- (value 15K)
- (footprint Resistor_SMD:R_0603_1608Metric)
- (libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
- (tstamp 5C509BFF))
(comp (ref R204)
(value 22R)
(footprint Resistor_SMD:R_0603_1608Metric)
(libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C509C70))
(comp (ref R205)
(value 22R)
(footprint Resistor_SMD:R_0603_1608Metric)
(libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C509CF5))
- (comp (ref R206)
- (value 22R)
- (footprint Resistor_SMD:R_0603_1608Metric)
- (libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
- (tstamp 5C509D6E))
(comp (ref R202)
(value 47K)
(footprint Resistor_SMD:R_0603_1608Metric)
(libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C6D8F55))
- (comp (ref C214)
+ (comp (ref C208)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C70907F))
- (comp (ref C213)
+ (comp (ref C207)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C709120))
- (comp (ref C228)
+ (comp (ref C225)
(value 470uF)
(footprint Capacitor_Tantalum_SMD:CP_EIA-3528-21_Kemet-B)
(libsource (lib Device) (part CP) (description "Polarized capacitor"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C70B5EF))
- (comp (ref JP202)
+ (comp (ref JP201)
(value "LEVEL EN")
- (footprint Connector_PinHeader_1.27mm:PinHeader_1x03_P1.27mm_Vertical)
+ (footprint Jumper:SolderJumper-3_P1.3mm_Open_RoundedPad1.0x1.5mm)
(libsource (lib Device) (part Jumper_NC_Dual) (description "Dual jumper, normally closed"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C769C25))
- (comp (ref JP201)
- (value "SIM PULL")
- (footprint Connector_PinHeader_1.27mm:PinHeader_1x02_P1.27mm_Vertical)
- (libsource (lib Device) (part Jumper_NC_Small) (description "Jumper, normally closed, small symbol"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
- (tstamp 5C769ED4))
- (comp (ref U205)
- (value DRV2605L)
- (footprint Package_SO:VSSOP-10_3x3mm_P0.5mm)
- (libsource (lib drv2605l) (part DRV2605L) (description ""))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
- (tstamp 5C76F689))
- (comp (ref C215)
+ (comp (ref C218)
(value 1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C76F80A))
- (comp (ref C216)
+ (comp (ref C219)
(value 1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C76F8C3))
- (comp (ref C211)
+ (comp (ref C205)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C7D7E30))
- (comp (ref C212)
+ (comp (ref C206)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C7D81F4))
- (comp (ref U202)
- (value SN74CBTLV3125)
- (footprint Package_DFN_QFN:Texas_S-PVQFN-N14_ThermalVias)
- (libsource (lib sn74) (part SN74CBTLV3125) (description ""))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
- (tstamp 5C881FF2))
- (comp (ref C210)
+ (comp (ref C204)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C883ACC))
- (comp (ref R207)
+ (comp (ref R203)
(value 47K)
(footprint Resistor_SMD:R_0603_1608Metric)
(libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C885135))
- (comp (ref R208)
+ (comp (ref R207)
(value 100K)
(footprint Resistor_SMD:R_0603_1608Metric)
(libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C88B596))
- (comp (ref R210)
+ (comp (ref R208)
(value 100K)
(footprint Resistor_SMD:R_0603_1608Metric)
(libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C8F05F3))
- (comp (ref U206)
- (value SN74CBTLV3126)
- (footprint Package_DFN_QFN:Texas_S-PVQFN-N14_ThermalVias)
- (libsource (lib sn74) (part SN74CBTLV3126) (description ""))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
- (tstamp 5C8F05FD))
- (comp (ref C217)
+ (comp (ref C222)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C8F0620))
- (comp (ref U207)
- (value TPS22917)
- (footprint footprints:Texas_R-PDSO-G6)
- (libsource (lib texas-tps) (part TPS22917) (description ""))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
- (tstamp 5C8F063B))
- (comp (ref C218)
+ (comp (ref C220)
(value 1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C8F0642))
- (comp (ref U208)
- (value SN74LVC2G66)
- (footprint Package_SO:VSSOP-8_2.4x2.1mm_P0.5mm)
- (libsource (lib sn74) (part SN74LVC2G66) (description ""))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
- (tstamp 5C8F0669))
- (comp (ref C219)
+ (comp (ref C221)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C8F0676))
- (comp (ref R211)
- (value 100K)
- (footprint Resistor_SMD:R_0603_1608Metric)
- (libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
- (tstamp 5C8EF95C))
- (comp (ref U209)
- (value TPS22917)
- (footprint footprints:Texas_R-PDSO-G6)
- (libsource (lib texas-tps) (part TPS22917) (description ""))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
- (tstamp 5C8FDD85))
- (comp (ref C221)
- (value 1000pF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
- (tstamp 5C8FF18A))
- (comp (ref C220)
- (value 1uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
- (tstamp 5C8FF273))
- (comp (ref C223)
+ (comp (ref C213)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C91B1E9))
- (comp (ref C222)
+ (comp (ref C212)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C91B2E5))
- (comp (ref C225)
+ (comp (ref C210)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C9205B4))
- (comp (ref C226)
+ (comp (ref C211)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C9206B2))
- (comp (ref C224)
+ (comp (ref C209)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C922451))
(comp (ref SW201)
(value BOOT)
(footprint footprints:Panasonic_EVPBB)
(datasheet ~)
(libsource (lib Switch) (part SW_Push) (description "Push button switch, generic, two pins"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5CC8732C))
(comp (ref J205)
(value Micro_SD_Card)
- (footprint footprints:MicroSd)
+ (footprint footprints:microSD)
(datasheet http://katalog.we-online.de/em/datasheet/693072010801.pdf)
(libsource (lib Connector) (part Micro_SD_Card) (description "Micro SD Card Socket"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5CC89A47))
- (comp (ref J203)
+ (comp (ref J202)
(value SIM_Card)
(footprint footprints:Wurth_NanoSIM-693043020611)
(datasheet " ~")
(libsource (lib Connector) (part SIM_Card) (description "SIM Card"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5CC8C7F4))
(comp (ref U203)
(value TXB0104RGY)
(footprint Package_DFN_QFN:Texas_S-PVQFN-N14_ThermalVias)
(datasheet http://www.ti.com/lit/ds/symlink/txb0104.pdf)
(libsource (lib Logic_LevelTranslator) (part TXB0104RGY) (description "4-Bit Bidirectional Voltage-Level Translator, Auto Direction Sensing and ±15-kV ESD Protection, 1.2 - 3.6V APort, 1.65 - 5.5V BPort, Texas_PVGFN-14"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5CC9072D))
(comp (ref U204)
(value TXB0104RGY)
(footprint Package_DFN_QFN:Texas_S-PVQFN-N14_ThermalVias)
(datasheet http://www.ti.com/lit/ds/symlink/txb0104.pdf)
(libsource (lib Logic_LevelTranslator) (part TXB0104RGY) (description "4-Bit Bidirectional Voltage-Level Translator, Auto Direction Sensing and ±15-kV ESD Protection, 1.2 - 3.6V APort, 1.65 - 5.5V BPort, Texas_PVGFN-14"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5CD08728))
- (comp (ref J202)
+ (comp (ref J201)
(value Modem)
- (footprint footprints:mpcie-socket)
+ (footprint footprints:Socket_mPCIe)
(datasheet ~)
(libsource (lib Connector_Generic) (part Conn_02x26_Odd_Even) (description "Generic connector, double row, 02x26, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5CDFDC3F))
- (comp (ref J206)
+ (comp (ref J204)
(value Camera)
(footprint Connector_PinHeader_2.54mm:PinHeader_1x08_P2.54mm_Vertical)
(datasheet ~)
(libsource (lib Connector_Generic) (part Conn_01x08) (description "Generic connector, single row, 01x08, script generated (kicad-library-utils/schlib/autogen/connector/)"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5CE10D8E))
- (comp (ref J204)
+ (comp (ref J203)
(value Motor)
(footprint Connector_PinHeader_1.27mm:PinHeader_1x02_P1.27mm_Vertical)
(datasheet ~)
(libsource (lib Connector_Generic) (part Conn_01x02) (description "Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5CE162E3))
- (comp (ref JP203)
+ (comp (ref JP202)
(value Q.DTR)
- (footprint Connector_PinHeader_1.27mm:PinHeader_1x02_P1.27mm_Vertical)
+ (footprint Jumper:SolderJumper-2_P1.3mm_Open_RoundedPad1.0x1.5mm)
(datasheet ~)
(libsource (lib Device) (part Jumper_NC_Small) (description "Jumper, normally closed, small symbol"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5E090092))
- (comp (ref JP204)
+ (comp (ref JP203)
(value Q.RI)
- (footprint Connector_PinHeader_1.27mm:PinHeader_1x02_P1.27mm_Vertical)
+ (footprint Jumper:SolderJumper-2_P1.3mm_Open_RoundedPad1.0x1.5mm)
(datasheet ~)
(libsource (lib Device) (part Jumper_NC_Small) (description "Jumper, normally closed, small symbol"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5E091644))
- (comp (ref JP205)
+ (comp (ref JP204)
(value Q.TXD)
- (footprint Connector_PinHeader_1.27mm:PinHeader_1x02_P1.27mm_Vertical)
+ (footprint Jumper:SolderJumper-2_P1.3mm_Open_RoundedPad1.0x1.5mm)
(datasheet ~)
(libsource (lib Device) (part Jumper_NC_Small) (description "Jumper, normally closed, small symbol"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5E0CADAF))
- (comp (ref JP206)
+ (comp (ref JP205)
(value Q.RXD)
- (footprint Connector_PinHeader_1.27mm:PinHeader_1x02_P1.27mm_Vertical)
+ (footprint Jumper:SolderJumper-2_P1.3mm_Open_RoundedPad1.0x1.5mm)
(datasheet ~)
(libsource (lib Device) (part Jumper_NC_Small) (description "Jumper, normally closed, small symbol"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5E1036AB))
- (comp (ref C227)
+ (comp (ref C224)
(value 470uF)
(footprint Capacitor_Tantalum_SMD:CP_EIA-3528-21_Kemet-B)
(libsource (lib Device) (part CP) (description "Polarized capacitor"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5D7BE54F))
(comp (ref R209)
(value 47K)
(footprint Resistor_SMD:R_0603_1608Metric)
(libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C6D9747))
- (comp (ref C209)
+ (comp (ref C223)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /periph/) (tstamps /5C4F2697/))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5D9958BB))
+ (comp (ref R206)
+ (value 22R)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
+ (tstamp 5C509D6E))
+ (comp (ref U201)
+ (value ESP32-WROOM)
+ (footprint footprints:ESP32-WROOM)
+ (libsource (lib esp) (part ESP32-WROOM) (description ""))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
+ (tstamp 6176A093))
+ (comp (ref U205)
+ (value DRV2605L)
+ (footprint Package_SO:VSSOP-10_3x3mm_P0.5mm)
+ (libsource (lib drv) (part DRV2605L) (description ""))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
+ (tstamp 6176EDE9))
+ (comp (ref U206)
+ (value TPS22917)
+ (footprint footprints:TPS22917)
+ (libsource (lib tps) (part TPS22917) (description ""))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
+ (tstamp 61A2BE36))
+ (comp (ref U202)
+ (value SN74CBTLV3125RGY)
+ (footprint Package_DFN_QFN:Texas_S-PVQFN-N14_ThermalVias)
+ (libsource (lib sn74) (part SN74CBTLV3125RGY) (description ""))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
+ (tstamp 621AAAA9))
+ (comp (ref U208)
+ (value SN74CBTLV3126RGY)
+ (footprint Package_DFN_QFN:Texas_S-PVQFN-N14_ThermalVias)
+ (libsource (lib sn74) (part SN74CBTLV3126RGY) (description ""))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
+ (tstamp 621B60B6))
+ (comp (ref U207)
+ (value SN74LVC2G66DCU)
+ (footprint Package_SO:VSSOP-8_2.4x2.1mm_P0.5mm)
+ (libsource (lib sn74) (part SN74LVC2G66DCU) (description ""))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
+ (tstamp 62214F88))
+ (comp (ref C228)
+ (value 0.01uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
+ (tstamp 600FE38B))
+ (comp (ref C229)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
+ (tstamp 600FF901))
+ (comp (ref C227)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
+ (tstamp 60100C61))
+ (comp (ref C226)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
+ (tstamp 6084ED71))
+ (comp (ref U209)
+ (value LSM9DS1)
+ (footprint Package_LGA:LGA-24L_3x3.5mm_P0.43mm)
+ (libsource (lib st) (part LSM9DS1) (description "I2C SPI 9 axis IMU accelerometer gyroscope magnetometer"))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
+ (tstamp 5FE708AF))
(comp (ref C301)
(value 4.7uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
+ (sheetpath (names /exp/) (tstamps /61A17BF3/))
(tstamp 5C8F1372))
(comp (ref C302)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
+ (sheetpath (names /exp/) (tstamps /61A17BF3/))
(tstamp 5C8F15E6))
(comp (ref C303)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
+ (sheetpath (names /exp/) (tstamps /61A17BF3/))
(tstamp 5C8F160D))
(comp (ref C304)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
+ (sheetpath (names /exp/) (tstamps /61A17BF3/))
(tstamp 5C8F1635))
(comp (ref C305)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
+ (sheetpath (names /exp/) (tstamps /61A17BF3/))
(tstamp 5C8F168B))
(comp (ref C306)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
+ (sheetpath (names /exp/) (tstamps /61A17BF3/))
(tstamp 5C8F16BB))
(comp (ref C307)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
+ (sheetpath (names /exp/) (tstamps /61A17BF3/))
(tstamp 5C8F16F2))
(comp (ref C308)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
+ (sheetpath (names /exp/) (tstamps /61A17BF3/))
(tstamp 5C8F175A))
(comp (ref C309)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
+ (sheetpath (names /exp/) (tstamps /61A17BF3/))
(tstamp 5C8F17BA))
(comp (ref C310)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
+ (sheetpath (names /exp/) (tstamps /61A17BF3/))
(tstamp 5C8F180E))
(comp (ref C311)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
+ (sheetpath (names /exp/) (tstamps /61A17BF3/))
(tstamp 5C8F1852))
(comp (ref C312)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
+ (sheetpath (names /exp/) (tstamps /61A17BF3/))
(tstamp 5C8F189B))
(comp (ref C313)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
+ (sheetpath (names /exp/) (tstamps /61A17BF3/))
(tstamp 5C8F18E5))
(comp (ref C314)
(value 22uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
+ (sheetpath (names /exp/) (tstamps /61A17BF3/))
(tstamp 5C8F1C4F))
(comp (ref C315)
(value 22uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
+ (sheetpath (names /exp/) (tstamps /61A17BF3/))
(tstamp 5C8F1D0B))
(comp (ref C316)
(value 22uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
+ (sheetpath (names /exp/) (tstamps /61A17BF3/))
(tstamp 5C8F1D61))
(comp (ref C317)
(value 22uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
+ (sheetpath (names /exp/) (tstamps /61A17BF3/))
(tstamp 5C8F1DBA))
(comp (ref C318)
(value 22uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
+ (sheetpath (names /exp/) (tstamps /61A17BF3/))
(tstamp 5C8F1E4E))
- (comp (ref R303)
- (value 1K)
- (footprint Resistor_SMD:R_0603_1608Metric)
- (libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5D6B48D6))
- (comp (ref R302)
- (value 1K)
- (footprint Resistor_SMD:R_0603_1608Metric)
- (libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5D6B48DC))
- (comp (ref R301)
- (value 4.7K)
- (footprint Resistor_SMD:R_0603_1608Metric)
- (libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5D6B48E2))
- (comp (ref R304)
- (value 100K)
- (footprint Resistor_SMD:R_0603_1608Metric)
- (libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5D6B48E8))
(comp (ref J302)
- (value Touch)
- (footprint Connector_FFC-FPC:Hirose_FH12-6S-0.5SH_1x06-1MP_P0.50mm_Horizontal)
+ (value Prog)
+ (footprint Connector_FFC-FPC:Hirose_FH12-12S-0.5SH_1x12-1MP_P0.50mm_Horizontal)
(datasheet ~)
- (libsource (lib Connector_Generic) (part Conn_01x06) (description "Generic connector, single row, 01x06, script generated (kicad-library-utils/schlib/autogen/connector/)"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5D6B4922))
- (comp (ref J303)
- (value Display)
- (footprint Connector_FFC-FPC:Hirose_FH12-40S-0.5SH_1x40-1MP_P0.50mm_Horizontal)
+ (libsource (lib Connector_Generic) (part Conn_01x12) (description "Generic connector, single row, 01x12, script generated (kicad-library-utils/schlib/autogen/connector/)"))
+ (sheetpath (names /exp/) (tstamps /61A17BF3/))
+ (tstamp 5DAD3DBD))
+ (comp (ref J301)
+ (value iMX8)
+ (footprint footprints:Socket_SODIMM_DDR3_TE_2013289)
+ (libsource (lib myConn) (part iMX8) (description ""))
+ (sheetpath (names /exp/) (tstamps /61A17BF3/))
+ (tstamp 61A6861D))
+ (comp (ref U405)
+ (value DS90C185)
+ (footprint Package_DFN_QFN:QFN-48-1EP_6x6mm_P0.4mm_EP4.6x4.6mm_ThermalVias)
+ (libsource (lib ds90) (part DS90C185) (description ""))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 5FC4DF5C))
+ (comp (ref C427)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
- (libsource (lib Connector_Generic) (part Conn_01x40) (description "Generic connector, single row, 01x40, script generated (kicad-library-utils/schlib/autogen/connector/)"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5D6C41AA))
- (comp (ref U304)
- (value TS3DDR3812)
- (footprint footprints:Texas_R-PWQFN-N42)
- (libsource (lib ts3ddr) (part TS3DDR3812) (description ""))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5D6A8527))
- (comp (ref U303)
- (value TS3DDR3812)
- (footprint footprints:Texas_R-PWQFN-N42)
- (libsource (lib ts3ddr) (part TS3DDR3812) (description ""))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5D6AD5B3))
- (comp (ref U302)
- (value TS3DDR3812)
- (footprint footprints:Texas_R-PWQFN-N42)
- (libsource (lib ts3ddr) (part TS3DDR3812) (description ""))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5D73F29F))
- (comp (ref U301)
- (value SN65LVDS822_SWAP)
- (footprint Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.15x5.15mm_ThermalVias)
- (libsource (lib sn65) (part SN65LVDS822_SWAP) (description ""))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5DAF14EF))
- (comp (ref C337)
- (value 1uF)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 5FC54DA8))
+ (comp (ref C428)
+ (value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5DE5980A))
- (comp (ref C338)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 5FC55595))
+ (comp (ref C429)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5DE5AA14))
- (comp (ref C339)
- (value 1uF)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 5FC5695F))
+ (comp (ref C430)
+ (value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5DE5AF75))
- (comp (ref C340)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 5FC57581))
+ (comp (ref C431)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5DE5AF7F))
- (comp (ref C325)
- (value 1uF)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 5FC5819E))
+ (comp (ref C432)
+ (value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5E0735A5))
- (comp (ref C326)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 5FC58A48))
+ (comp (ref C433)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5E0735AF))
- (comp (ref C327)
- (value 1uF)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 5FC59ADC))
+ (comp (ref C421)
+ (value 0.01uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5E0735B9))
- (comp (ref C328)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 5FC64F59))
+ (comp (ref C422)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5E0735C3))
- (comp (ref C319)
- (value 1uF)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 5FC65D1E))
+ (comp (ref C423)
+ (value 0.01uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5E09F83E))
- (comp (ref C320)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 5FC6623E))
+ (comp (ref C424)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5E09F848))
- (comp (ref C321)
- (value 1uF)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 5FC66DFF))
+ (comp (ref C425)
+ (value 0.01uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5E09F852))
- (comp (ref C322)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 5FC6760C))
+ (comp (ref C426)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 5FC683BF))
+ (comp (ref D401)
+ (value MBR0540)
+ (footprint Diode_SMD:D_SOD-123)
+ (libsource (lib Device) (part D_Schottky) (description "Schottky diode"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 5C1306C7))
+ (comp (ref D402)
+ (value 3SMAJ5935B-TP)
+ (footprint Diode_SMD:D_SMA)
+ (libsource (lib Device) (part D_Zener) (description "Zener diode"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 5C130C50))
+ (comp (ref C401)
+ (value 1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 61181115))
+ (comp (ref C402)
+ (value 1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 5C131E14))
+ (comp (ref L401)
+ (value 10uH)
+ (footprint footprints:L_Murata_LQH66SN)
+ (libsource (lib Device) (part L) (description Inductor))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 5C13261E))
+ (comp (ref R402)
+ (value 47K)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 5C13326A))
+ (comp (ref C405)
+ (value 27pF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 5C133743))
+ (comp (ref C404)
+ (value 10uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 5C1339C2))
+ (comp (ref R403)
+ (value 22R)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 6118111D))
+ (comp (ref R404)
+ (value 15R)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 5C138792))
+ (comp (ref C406)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 6118111F))
+ (comp (ref C407)
+ (value 27pF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 61181120))
+ (comp (ref R405)
+ (value R)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 61181122))
+ (comp (ref Y401)
+ (value 12MHz)
+ (footprint footprints:TXC_7M)
+ (libsource (lib Device) (part Crystal_GND3_Small) (description "Three pin crystal, GND on pin 3, small symbol"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 61181124))
+ (comp (ref C409)
+ (value 18pF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5E09F85C))
- (comp (ref C336)
- (value 0.01uF)
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 61181125))
+ (comp (ref C410)
+ (value 18pF)
(footprint Capacitor_SMD:C_0603_1608Metric)
- (datasheet ~)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5E621F1F))
- (comp (ref C335)
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 61181126))
+ (comp (ref C414)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
- (datasheet ~)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5E623041))
- (comp (ref C334)
- (value 0.01uF)
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 61181127))
+ (comp (ref C411)
+ (value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
- (datasheet ~)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5E65205B))
- (comp (ref C333)
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 61181128))
+ (comp (ref C413)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
- (datasheet ~)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5E652065))
- (comp (ref C332)
- (value 0.01uF)
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 61181129))
+ (comp (ref C412)
+ (value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
- (datasheet ~)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5E68351E))
- (comp (ref C331)
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 6118112A))
+ (comp (ref C419)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
- (datasheet ~)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5E683528))
- (comp (ref C330)
- (value 0.01uF)
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 6118112B))
+ (comp (ref C418)
+ (value 10uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 6118112C))
+ (comp (ref R407)
+ (value 47K)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 6118112E))
+ (comp (ref R408)
+ (value 47K)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 5C15B9CE))
+ (comp (ref R406)
+ (value 47K)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 61181131))
+ (comp (ref C408)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 61181132))
+ (comp (ref C417)
+ (value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5E6B29D8))
- (comp (ref C329)
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 5FA3C308))
+ (comp (ref C416)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5E6B29E2))
- (comp (ref C324)
- (value 0.01uF)
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 5FA40336))
+ (comp (ref R410)
+ (value 47K)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 61181139))
+ (comp (ref R409)
+ (value 47K)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 60180733))
+ (comp (ref C420)
+ (value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5E6E23DE))
- (comp (ref C323)
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 611AD3DD))
+ (comp (ref U403)
+ (value BT815Q)
+ (footprint Package_DFN_QFN:QFN-64-1EP_9x9mm_P0.5mm_EP7.3x7.3mm_ThermalVias)
+ (libsource (lib ft81x) (part BT815Q) (description ""))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 61181142))
+ (comp (ref R411)
+ (value 47K)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 5F5D73A1))
+ (comp (ref R416)
+ (value 1K)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 6272DFCD))
+ (comp (ref R415)
+ (value 1K)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 6272DFD3))
+ (comp (ref R414)
+ (value 10K)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 6272DFD9))
+ (comp (ref R417)
+ (value 100K)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 6272DFDF))
+ (comp (ref C439)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 6272DFFA))
+ (comp (ref J401)
+ (value Touch)
+ (footprint Connector_FFC-FPC:Hirose_FH12-8S-0.5SH_1x08-1MP_P0.50mm_Horizontal)
+ (datasheet ~)
+ (libsource (lib Connector_Generic) (part Conn_01x08) (description "Generic connector, single row, 01x08, script generated (kicad-library-utils/schlib/autogen/connector/)"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 6272E000))
+ (comp (ref U404)
+ (value IS25LP128-JKLE)
+ (footprint Package_SON:WSON-8-1EP_6x5mm_P1.27mm_EP3.4x4mm)
+ (libsource (lib issi) (part IS25LP128-JKLE) (description ""))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 6179ADC4))
+ (comp (ref U406)
+ (value TS3DV520E)
+ (footprint footprints:Texas_R-PWQFN-N42)
+ (libsource (lib ts) (part TS3DV520E) (description ""))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 6179D771))
+ (comp (ref U401)
+ (value FAN5333BSX)
+ (footprint Package_TO_SOT_SMD:SOT-23-5)
+ (libsource (lib fan) (part FAN5333) (description ""))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 617A48E2))
+ (comp (ref C403)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 603D8E24))
+ (comp (ref R401)
+ (value 47K)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 60466DC3))
+ (comp (ref C436)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 60EBA193))
+ (comp (ref C437)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 60EBD0DD))
+ (comp (ref C415)
+ (value 1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (datasheet ~)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5E6E23E8))
- (comp (ref J301)
- (value iMX8)
- (footprint footprints:Socket_SODIMM_DDR3_TE_2013289)
- (libsource (lib SODIMM-204) (part iMX8) (description ""))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5C8FA2B8))
- (comp (ref J304)
- (value Prog)
- (footprint Connector_FFC-FPC:Hirose_FH12-12S-0.5SH_1x12-1MP_P0.50mm_Horizontal)
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 61569E1B))
+ (comp (ref U408)
+ (value TXS0104ERGY)
+ (footprint Package_DFN_QFN:Texas_S-PVQFN-N14_ThermalVias)
+ (libsource (lib tx) (part TXS0104ERGY) (description ""))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 621FCD31))
+ (comp (ref U402)
+ (value SN74LVC3G06DCU)
+ (footprint Package_SO:VSSOP-8_2.4x2.1mm_P0.5mm)
+ (libsource (lib sn74) (part SN74LVC3G06DCU) (description ""))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 622192F6))
+ (comp (ref C440)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
- (libsource (lib Connector_Generic) (part Conn_01x12) (description "Generic connector, single row, 01x12, script generated (kicad-library-utils/schlib/autogen/connector/)"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5DAD3DBD))
- (comp (ref C341)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 5FCB6DD2))
+ (comp (ref J402)
+ (value Display)
+ (footprint Connector_FFC-FPC:Hirose_FH12-26S-0.5SH_1x26-1MP_P0.50mm_Horizontal)
+ (datasheet ~)
+ (libsource (lib Connector_Generic) (part Conn_01x26) (description "Generic connector, single row, 01x26, script generated (kicad-library-utils/schlib/autogen/connector/)"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 601E4730))
+ (comp (ref U407)
+ (value TXS0104ERGY)
+ (footprint Package_DFN_QFN:Texas_S-PVQFN-N14_ThermalVias)
+ (libsource (lib tx) (part TXS0104ERGY) (description ""))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 60231D87))
+ (comp (ref C434)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 60235C40))
+ (comp (ref C435)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5D9C0F47))
- (comp (ref C342)
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 60235C46))
+ (comp (ref U409)
+ (value SN74LVC2G06DRY)
+ (footprint footprints:USON-6_1.45x1mm_P0.5mm)
+ (libsource (lib sn74) (part SN74LVC2G06DRY) (description ""))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 5FDB14C9))
+ (comp (ref C438)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5DB5B98C))
- (comp (ref R305)
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 5FDB2A6B))
+ (comp (ref R413)
(value 47K)
(footprint Resistor_SMD:R_0603_1608Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5DB02E01))
- (comp (ref R306)
- (value 100K)
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 5FDB3F7D))
+ (comp (ref JP401)
+ (value CTP_PWR)
+ (footprint Jumper:SolderJumper-3_P1.3mm_Open_RoundedPad1.0x1.5mm)
+ (libsource (lib Device) (part Jumper_NC_Dual) (description "Dual jumper, normally closed"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 6137DF2C))
+ (comp (ref R412)
+ (value 47K)
(footprint Resistor_SMD:R_0603_1608Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /exp/) (tstamps /5C8B7D35/))
- (tstamp 5DB0397A)))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 61B45DCC)))
(libparts
(libpart (lib 74xx) (part 74HC595)
(aliases
(alias 74LS595)
- (alias 74HCT595))
+ (alias 74HCT595)
+ (alias 74AHC595)
+ (alias 74AHCT595))
(description "8-bit serial in/out Shift Register 3-State Outputs")
(docs http://www.ti.com/lit/ds/symlink/sn74hc595.pdf)
(footprints
@@ -1625,21 +1751,6 @@
(pins
(pin (num 1) (name Pin_1) (type passive))
(pin (num 2) (name Pin_2) (type passive))))
- (libpart (lib Connector_Generic) (part Conn_01x06)
- (description "Generic connector, single row, 01x06, script generated (kicad-library-utils/schlib/autogen/connector/)")
- (docs ~)
- (footprints
- (fp Connector*:*_1x??_*))
- (fields
- (field (name Reference) J)
- (field (name Value) Conn_01x06))
- (pins
- (pin (num 1) (name Pin_1) (type passive))
- (pin (num 2) (name Pin_2) (type passive))
- (pin (num 3) (name Pin_3) (type passive))
- (pin (num 4) (name Pin_4) (type passive))
- (pin (num 5) (name Pin_5) (type passive))
- (pin (num 6) (name Pin_6) (type passive))))
(libpart (lib Connector_Generic) (part Conn_01x08)
(description "Generic connector, single row, 01x08, script generated (kicad-library-utils/schlib/autogen/connector/)")
(docs ~)
@@ -1678,14 +1789,14 @@
(pin (num 10) (name Pin_10) (type passive))
(pin (num 11) (name Pin_11) (type passive))
(pin (num 12) (name Pin_12) (type passive))))
- (libpart (lib Connector_Generic) (part Conn_01x40)
- (description "Generic connector, single row, 01x40, script generated (kicad-library-utils/schlib/autogen/connector/)")
+ (libpart (lib Connector_Generic) (part Conn_01x26)
+ (description "Generic connector, single row, 01x26, script generated (kicad-library-utils/schlib/autogen/connector/)")
(docs ~)
(footprints
(fp Connector*:*_1x??_*))
(fields
(field (name Reference) J)
- (field (name Value) Conn_01x40))
+ (field (name Value) Conn_01x26))
(pins
(pin (num 1) (name Pin_1) (type passive))
(pin (num 2) (name Pin_2) (type passive))
@@ -1712,21 +1823,7 @@
(pin (num 23) (name Pin_23) (type passive))
(pin (num 24) (name Pin_24) (type passive))
(pin (num 25) (name Pin_25) (type passive))
- (pin (num 26) (name Pin_26) (type passive))
- (pin (num 27) (name Pin_27) (type passive))
- (pin (num 28) (name Pin_28) (type passive))
- (pin (num 29) (name Pin_29) (type passive))
- (pin (num 30) (name Pin_30) (type passive))
- (pin (num 31) (name Pin_31) (type passive))
- (pin (num 32) (name Pin_32) (type passive))
- (pin (num 33) (name Pin_33) (type passive))
- (pin (num 34) (name Pin_34) (type passive))
- (pin (num 35) (name Pin_35) (type passive))
- (pin (num 36) (name Pin_36) (type passive))
- (pin (num 37) (name Pin_37) (type passive))
- (pin (num 38) (name Pin_38) (type passive))
- (pin (num 39) (name Pin_39) (type passive))
- (pin (num 40) (name Pin_40) (type passive))))
+ (pin (num 26) (name Pin_26) (type passive))))
(libpart (lib Connector_Generic) (part Conn_02x26_Odd_Even)
(description "Generic connector, double row, 02x26, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)")
(docs ~)
@@ -1788,17 +1885,6 @@
(pin (num 50) (name Pin_50) (type passive))
(pin (num 51) (name Pin_51) (type passive))
(pin (num 52) (name Pin_52) (type passive))))
- (libpart (lib Device) (part Buzzer)
- (description "Buzzer, polarized")
- (docs ~)
- (footprints
- (fp *Buzzer*))
- (fields
- (field (name Reference) BZ)
- (field (name Value) Buzzer))
- (pins
- (pin (num 1) (name -) (type passive))
- (pin (num 2) (name +) (type passive))))
(libpart (lib Device) (part C)
(description "Unpolarized capacitor")
(docs ~)
@@ -1876,7 +1962,10 @@
(description "Dual jumper, normally closed")
(docs ~)
(footprints
- (fp SolderJumper*Bridged*))
+ (fp SolderJumper*Bridged*)
+ (fp Jumper*)
+ (fp TestPoint*2Pads*)
+ (fp TestPoint*Bridge*))
(fields
(field (name Reference) JP)
(field (name Value) Jumper_NC_Dual))
@@ -1888,7 +1977,10 @@
(description "Jumper, normally closed, small symbol")
(docs ~)
(footprints
- (fp SolderJumper*Bridged*))
+ (fp SolderJumper*Bridged*)
+ (fp Jumper*)
+ (fp TestPoint*2Pads*)
+ (fp TestPoint*Bridge*))
(fields
(field (name Reference) JP)
(field (name Value) Jumper_NC_Small))
@@ -1967,7 +2059,302 @@
(pin (num 13) (name B1) (type BiDi))
(pin (num 14) (name VCCB) (type power_in))
(pin (num 15) (name Pad) (type input))))
- (libpart (lib SODIMM-204) (part iMX8)
+ (libpart (lib Switch) (part SW_Push)
+ (description "Push button switch, generic, two pins")
+ (docs ~)
+ (fields
+ (field (name Reference) SW)
+ (field (name Value) SW_Push))
+ (pins
+ (pin (num 1) (name 1) (type passive))
+ (pin (num 2) (name 2) (type passive))))
+ (libpart (lib bq) (part BQ25895)
+ (fields
+ (field (name Reference) U)
+ (field (name Value) BQ25895))
+ (pins
+ (pin (num 1) (name VBUS) (type power_in))
+ (pin (num 2) (name D+) (type BiDi))
+ (pin (num 3) (name D-) (type BiDi))
+ (pin (num 4) (name STAT) (type output))
+ (pin (num 5) (name SCL) (type input))
+ (pin (num 6) (name SDA) (type BiDi))
+ (pin (num 7) (name INT) (type output))
+ (pin (num 8) (name OTG) (type input))
+ (pin (num 9) (name /CE) (type input))
+ (pin (num 10) (name ILIM) (type input))
+ (pin (num 11) (name TS) (type input))
+ (pin (num 12) (name /QON) (type input))
+ (pin (num 13) (name BAT) (type passive))
+ (pin (num 14) (name BAT) (type power_out))
+ (pin (num 15) (name SYS) (type passive))
+ (pin (num 16) (name SYS) (type power_out))
+ (pin (num 17) (name PGND) (type power_in))
+ (pin (num 18) (name PGND) (type power_in))
+ (pin (num 19) (name SW) (type passive))
+ (pin (num 20) (name SW) (type output))
+ (pin (num 21) (name BTST) (type output))
+ (pin (num 22) (name REGN) (type output))
+ (pin (num 23) (name PMID) (type power_out))
+ (pin (num 24) (name DSEL) (type output))
+ (pin (num 25) (name EP) (type power_in))))
+ (libpart (lib cui) (part SJ-3571N)
+ (fields
+ (field (name Reference) J)
+ (field (name Value) SJ-3571N)
+ (field (name Footprint) CUI_SJ-3571N))
+ (pins
+ (pin (num 1) (name 1) (type passive))
+ (pin (num 2) (name 2) (type passive))
+ (pin (num 3) (name 3) (type passive))
+ (pin (num 4) (name 4) (type passive))
+ (pin (num 5) (name 5) (type passive))
+ (pin (num 6) (name 6) (type passive))
+ (pin (num 7) (name 7) (type passive))
+ (pin (num 8) (name 8) (type passive))
+ (pin (num 9) (name 9) (type passive))
+ (pin (num 10) (name 10) (type passive))
+ (pin (num 11) (name 11) (type passive))))
+ (libpart (lib drv) (part DRV2605L)
+ (fields
+ (field (name Reference) U)
+ (field (name Value) DRV2605L))
+ (pins
+ (pin (num 1) (name REG) (type output))
+ (pin (num 2) (name SCL) (type input))
+ (pin (num 3) (name SDA) (type BiDi))
+ (pin (num 4) (name IN/TRIG) (type input))
+ (pin (num 5) (name EN) (type input))
+ (pin (num 6) (name VDD/NC) (type power_in))
+ (pin (num 7) (name OUT+) (type output))
+ (pin (num 8) (name GND) (type power_in))
+ (pin (num 9) (name OUT-) (type output))
+ (pin (num 10) (name VDD) (type power_in))))
+ (libpart (lib ds90) (part DS90C185)
+ (fields
+ (field (name Reference) U)
+ (field (name Value) DS90C185))
+ (pins
+ (pin (num 1) (name D9) (type input))
+ (pin (num 2) (name D10) (type input))
+ (pin (num 3) (name D11) (type input))
+ (pin (num 4) (name D12) (type input))
+ (pin (num 5) (name D13) (type input))
+ (pin (num 6) (name CLK) (type input))
+ (pin (num 7) (name D14) (type input))
+ (pin (num 8) (name D15) (type input))
+ (pin (num 9) (name D16) (type input))
+ (pin (num 10) (name D17) (type input))
+ (pin (num 11) (name D18) (type input))
+ (pin (num 12) (name D19) (type input))
+ (pin (num 13) (name VDDPLL) (type power_in))
+ (pin (num 14) (name D20) (type input))
+ (pin (num 15) (name GND) (type power_in))
+ (pin (num 16) (name D21) (type input))
+ (pin (num 17) (name D22) (type input))
+ (pin (num 18) (name D23) (type input))
+ (pin (num 19) (name D24) (type input))
+ (pin (num 20) (name D25) (type input))
+ (pin (num 21) (name D26) (type input))
+ (pin (num 22) (name D27) (type input))
+ (pin (num 23) (name R_FB) (type input))
+ (pin (num 24) (name GND) (type power_in))
+ (pin (num 25) (name VDDTX) (type power_in))
+ (pin (num 26) (name 18B_MODE) (type input))
+ (pin (num 27) (name TX3+) (type output))
+ (pin (num 28) (name TX3-) (type output))
+ (pin (num 29) (name TXCLK+) (type output))
+ (pin (num 30) (name TXCLK-) (type output))
+ (pin (num 31) (name TX2+) (type output))
+ (pin (num 32) (name TX2-) (type output))
+ (pin (num 33) (name TX1+) (type output))
+ (pin (num 34) (name TX1-) (type output))
+ (pin (num 35) (name TX0+) (type output))
+ (pin (num 36) (name TX0-) (type output))
+ (pin (num 37) (name PDB) (type input))
+ (pin (num 38) (name D0) (type input))
+ (pin (num 39) (name VOD_SEL) (type input))
+ (pin (num 40) (name D1) (type input))
+ (pin (num 41) (name D2) (type input))
+ (pin (num 42) (name D3) (type input))
+ (pin (num 43) (name D4) (type input))
+ (pin (num 44) (name D5) (type input))
+ (pin (num 45) (name D6) (type input))
+ (pin (num 46) (name D7) (type input))
+ (pin (num 47) (name D8) (type input))
+ (pin (num 48) (name VDD) (type power_in))
+ (pin (num 49) (name DAP) (type power_in))))
+ (libpart (lib esp) (part ESP32-WROOM)
+ (fields
+ (field (name Reference) U)
+ (field (name Value) ESP32-WROOM))
+ (pins
+ (pin (num 1) (name GND) (type power_in))
+ (pin (num 2) (name 3V3) (type power_in))
+ (pin (num 3) (name EN) (type input))
+ (pin (num 4) (name SENSOR_VP) (type input))
+ (pin (num 5) (name SENSOR_VN) (type input))
+ (pin (num 6) (name IO34) (type input))
+ (pin (num 7) (name IO35) (type input))
+ (pin (num 8) (name IO32) (type BiDi))
+ (pin (num 9) (name IO33) (type BiDi))
+ (pin (num 10) (name IO25) (type BiDi))
+ (pin (num 11) (name IO26) (type BiDi))
+ (pin (num 12) (name IO27) (type BiDi))
+ (pin (num 13) (name IO14) (type BiDi))
+ (pin (num 14) (name IO12) (type BiDi))
+ (pin (num 15) (name GND) (type power_in))
+ (pin (num 16) (name IO13) (type BiDi))
+ (pin (num 17) (name SD2) (type BiDi))
+ (pin (num 18) (name SD3) (type BiDi))
+ (pin (num 19) (name CMD) (type BiDi))
+ (pin (num 20) (name CLK) (type BiDi))
+ (pin (num 21) (name SDO) (type BiDi))
+ (pin (num 22) (name SD1) (type BiDi))
+ (pin (num 23) (name IO15) (type BiDi))
+ (pin (num 24) (name IO2) (type BiDi))
+ (pin (num 25) (name IO0) (type BiDi))
+ (pin (num 26) (name IO4) (type BiDi))
+ (pin (num 27) (name IO16) (type BiDi))
+ (pin (num 28) (name IO17) (type BiDi))
+ (pin (num 29) (name IO5) (type BiDi))
+ (pin (num 30) (name IO18) (type BiDi))
+ (pin (num 31) (name IO19) (type BiDi))
+ (pin (num 32) (name NC) (type NotConnected))
+ (pin (num 33) (name IO21) (type BiDi))
+ (pin (num 34) (name RXD0) (type BiDi))
+ (pin (num 35) (name TXD0) (type BiDi))
+ (pin (num 36) (name IO22) (type BiDi))
+ (pin (num 37) (name IO23) (type BiDi))
+ (pin (num 38) (name GND) (type power_in))
+ (pin (num 39) (name EP) (type power_in))))
+ (libpart (lib fan) (part FAN5333)
+ (fields
+ (field (name Reference) U)
+ (field (name Value) FAN5333))
+ (pins
+ (pin (num 1) (name SW) (type passive))
+ (pin (num 2) (name GND) (type power_in))
+ (pin (num 3) (name FB) (type passive))
+ (pin (num 4) (name /SHDN) (type input))
+ (pin (num 5) (name VIN) (type power_in))))
+ (libpart (lib ft81x) (part BT815Q)
+ (fields
+ (field (name Reference) U)
+ (field (name Value) BT815Q))
+ (pins
+ (pin (num 1) (name R0) (type output))
+ (pin (num 2) (name VIN1V2) (type power_in))
+ (pin (num 3) (name SCK) (type input))
+ (pin (num 4) (name MISO/IO1) (type BiDi))
+ (pin (num 5) (name MOSI/IO0) (type BiDi))
+ (pin (num 6) (name CS_N) (type input))
+ (pin (num 7) (name GPIO0/IO2) (type BiDi))
+ (pin (num 8) (name GPIO1/IO3) (type BiDi))
+ (pin (num 9) (name VCCIO1) (type power_in))
+ (pin (num 10) (name GPIO2) (type BiDi))
+ (pin (num 11) (name INT_N) (type output))
+ (pin (num 12) (name PD_N) (type input))
+ (pin (num 13) (name GPIO3) (type BiDi))
+ (pin (num 14) (name SPIMSCK) (type output))
+ (pin (num 15) (name SPIMCS) (type output))
+ (pin (num 16) (name SPIMMOSI) (type BiDi))
+ (pin (num 17) (name VCCIO3) (type power_in))
+ (pin (num 18) (name SPIMMISO) (type BiDi))
+ (pin (num 19) (name SPIMIO2) (type BiDi))
+ (pin (num 20) (name SPIMIO3) (type BiDi))
+ (pin (num 21) (name X1/CLK) (type input))
+ (pin (num 22) (name X2) (type output))
+ (pin (num 23) (name GND) (type power_in))
+ (pin (num 24) (name VCC) (type power_in))
+ (pin (num 25) (name VOUT1V2) (type power_out))
+ (pin (num 26) (name AUDIO_L) (type output))
+ (pin (num 27) (name VCCA) (type power_in))
+ (pin (num 28) (name VCCIO2) (type power_in))
+ (pin (num 29) (name CTP_RST_N) (type output))
+ (pin (num 30) (name CTP_INT_N) (type input))
+ (pin (num 31) (name CTP_SCL) (type output))
+ (pin (num 32) (name CTP_SDA) (type output))
+ (pin (num 33) (name GND) (type power_in))
+ (pin (num 34) (name BL_PWM) (type output))
+ (pin (num 35) (name DE) (type output))
+ (pin (num 36) (name VSYNC) (type output))
+ (pin (num 37) (name HSYNC) (type output))
+ (pin (num 38) (name DISP) (type output))
+ (pin (num 39) (name PCLK) (type output))
+ (pin (num 40) (name B7) (type output))
+ (pin (num 41) (name B6) (type output))
+ (pin (num 42) (name B5) (type output))
+ (pin (num 43) (name B4) (type output))
+ (pin (num 44) (name B3) (type output))
+ (pin (num 45) (name B2) (type output))
+ (pin (num 46) (name B1) (type output))
+ (pin (num 47) (name B0) (type output))
+ (pin (num 48) (name GND) (type power_in))
+ (pin (num 49) (name G7) (type output))
+ (pin (num 50) (name G6) (type output))
+ (pin (num 51) (name G5) (type output))
+ (pin (num 52) (name G4) (type output))
+ (pin (num 53) (name G3) (type output))
+ (pin (num 54) (name G2) (type output))
+ (pin (num 55) (name G1) (type output))
+ (pin (num 56) (name G0) (type output))
+ (pin (num 57) (name VIN1V2) (type power_in))
+ (pin (num 58) (name R7) (type output))
+ (pin (num 59) (name R6) (type output))
+ (pin (num 60) (name R5) (type output))
+ (pin (num 61) (name R4) (type output))
+ (pin (num 62) (name R3) (type output))
+ (pin (num 63) (name R2) (type output))
+ (pin (num 64) (name R1) (type output))
+ (pin (num 65) (name EP) (type power_in))))
+ (libpart (lib ics) (part ICS-43434)
+ (fields
+ (field (name Reference) U)
+ (field (name Value) ICS-43434))
+ (pins
+ (pin (num 1) (name WS) (type input))
+ (pin (num 2) (name LR) (type input))
+ (pin (num 3) (name GND) (type power_in))
+ (pin (num 4) (name SCK) (type input))
+ (pin (num 5) (name VDD) (type power_in))
+ (pin (num 6) (name SD) (type output))))
+ (libpart (lib issi) (part IS25LP128-JKLE)
+ (footprints
+ (fp SOIJ*5.3x5.3mm*Pitch1.27mm*))
+ (fields
+ (field (name Reference) U)
+ (field (name Value) IS25LP128-JKLE)
+ (field (name Footprint) Package_SON:WSON-8-1EP_6x5mm_P1.27mm_EP3.4x4mm))
+ (pins
+ (pin (num 1) (name S#) (type input))
+ (pin (num 2) (name MISO/IO1) (type BiDi))
+ (pin (num 3) (name WP#/IO2) (type BiDi))
+ (pin (num 4) (name VSS) (type power_in))
+ (pin (num 5) (name MOSI/IO0) (type BiDi))
+ (pin (num 6) (name SCK) (type input))
+ (pin (num 7) (name HOLD#/IO3) (type BiDi))
+ (pin (num 8) (name VCC) (type power_in))
+ (pin (num 9) (name EP) (type power_in))))
+ (libpart (lib max) (part MAX98357)
+ (fields
+ (field (name Reference) U)
+ (field (name Value) MAX98357))
+ (pins
+ (pin (num 1) (name DIN) (type input))
+ (pin (num 2) (name GAIN_SLOT) (type input))
+ (pin (num 3) (name GND) (type power_in))
+ (pin (num 4) (name SD_MODE) (type input))
+ (pin (num 7) (name VDD) (type power_in))
+ (pin (num 8) (name VDD) (type power_in))
+ (pin (num 9) (name OUTP) (type output))
+ (pin (num 10) (name OUTN) (type output))
+ (pin (num 11) (name GND) (type power_in))
+ (pin (num 14) (name LRCLK) (type input))
+ (pin (num 15) (name GND) (type power_in))
+ (pin (num 16) (name BCLK) (type input))
+ (pin (num 17) (name EP) (type passive))))
+ (libpart (lib myConn) (part iMX8)
(fields
(field (name Reference) J)
(field (name Value) iMX8))
@@ -2176,69 +2563,7 @@
(pin (num 202) (name PIN202) (type BiDi))
(pin (num 203) (name PIN203) (type BiDi))
(pin (num 204) (name PIN204) (type power_in))))
- (libpart (lib Switch) (part SW_Push)
- (description "Push button switch, generic, two pins")
- (docs ~)
- (fields
- (field (name Reference) SW)
- (field (name Value) SW_Push))
- (pins
- (pin (num 1) (name 1) (type passive))
- (pin (num 2) (name 2) (type passive))))
- (libpart (lib Transistor_BJT) (part BC807)
- (aliases
- (alias BC808)
- (alias BC856)
- (alias BC857)
- (alias BC858)
- (alias BC859)
- (alias BC860)
- (alias MMBT3906))
- (description "0.8A Ic, 45V Vce, PNP Transistor, SOT-23")
- (docs http://www.fairchildsemi.com/ds/BC/BC807.pdf)
- (footprints
- (fp SOT?23*))
- (fields
- (field (name Reference) Q)
- (field (name Value) BC807)
- (field (name Footprint) Package_TO_SOT_SMD:SOT-23))
- (pins
- (pin (num 1) (name B) (type input))
- (pin (num 2) (name E) (type passive))
- (pin (num 3) (name C) (type passive))))
- (libpart (lib cui-sj) (part SJ-3571N)
- (fields
- (field (name Reference) J)
- (field (name Value) SJ-3571N)
- (field (name Footprint) CUI_SJ-3571N))
- (pins
- (pin (num 1) (name 1) (type passive))
- (pin (num 2) (name 2) (type passive))
- (pin (num 3) (name 3) (type passive))
- (pin (num 4) (name 4) (type passive))
- (pin (num 5) (name 5) (type passive))
- (pin (num 6) (name 6) (type passive))
- (pin (num 7) (name 7) (type passive))
- (pin (num 8) (name 8) (type passive))
- (pin (num 9) (name 9) (type passive))
- (pin (num 10) (name 10) (type passive))
- (pin (num 11) (name 11) (type passive))))
- (libpart (lib drv2605l) (part DRV2605L)
- (fields
- (field (name Reference) U)
- (field (name Value) DRV2605L))
- (pins
- (pin (num 1) (name REG) (type output))
- (pin (num 2) (name SCL) (type input))
- (pin (num 3) (name SDA) (type BiDi))
- (pin (num 4) (name IN/TRIG) (type input))
- (pin (num 5) (name EN) (type input))
- (pin (num 6) (name VDD/NC) (type power_in))
- (pin (num 7) (name OUT+) (type output))
- (pin (num 8) (name GND) (type power_in))
- (pin (num 9) (name OUT-) (type output))
- (pin (num 10) (name VDD) (type power_in))))
- (libpart (lib e310-g000) (part E310-G002)
+ (libpart (lib sifive) (part E310-G002)
(fields
(field (name Reference) U)
(field (name Value) E310-G002))
@@ -2292,168 +2617,7 @@
(pin (num 47) (name IVDD) (type power_in))
(pin (num 48) (name QSPI_CLK) (type output))
(pin (num 49) (name GND) (type power_in))))
- (libpart (lib esp32) (part ESP32-WROOM)
- (fields
- (field (name Reference) U)
- (field (name Value) ESP32-WROOM))
- (pins
- (pin (num 1) (name GND) (type power_in))
- (pin (num 2) (name 3V3) (type power_in))
- (pin (num 3) (name EN) (type input))
- (pin (num 4) (name SENSOR_VP) (type input))
- (pin (num 5) (name SENSOR_VN) (type input))
- (pin (num 6) (name IO34) (type input))
- (pin (num 7) (name IO35) (type input))
- (pin (num 8) (name IO32) (type BiDi))
- (pin (num 9) (name IO33) (type BiDi))
- (pin (num 10) (name IO25) (type BiDi))
- (pin (num 11) (name IO26) (type BiDi))
- (pin (num 12) (name IO27) (type BiDi))
- (pin (num 13) (name IO14) (type BiDi))
- (pin (num 14) (name IO12) (type BiDi))
- (pin (num 15) (name GND) (type power_in))
- (pin (num 16) (name IO13) (type BiDi))
- (pin (num 17) (name SD2) (type BiDi))
- (pin (num 18) (name SD3) (type BiDi))
- (pin (num 19) (name CMD) (type BiDi))
- (pin (num 20) (name CLK) (type BiDi))
- (pin (num 21) (name SDO) (type BiDi))
- (pin (num 22) (name SD1) (type BiDi))
- (pin (num 23) (name IO15) (type BiDi))
- (pin (num 24) (name IO2) (type BiDi))
- (pin (num 25) (name IO0) (type BiDi))
- (pin (num 26) (name IO4) (type BiDi))
- (pin (num 27) (name IO16) (type BiDi))
- (pin (num 28) (name IO17) (type BiDi))
- (pin (num 29) (name IO5) (type BiDi))
- (pin (num 30) (name IO18) (type BiDi))
- (pin (num 31) (name IO19) (type BiDi))
- (pin (num 32) (name NC) (type NotConnected))
- (pin (num 33) (name IO21) (type BiDi))
- (pin (num 34) (name RXD0) (type BiDi))
- (pin (num 35) (name TXD0) (type BiDi))
- (pin (num 36) (name IO22) (type BiDi))
- (pin (num 37) (name IO23) (type BiDi))
- (pin (num 38) (name GND) (type power_in))
- (pin (num 39) (name EP) (type power_in))))
- (libpart (lib fan5333) (part FAN5333)
- (fields
- (field (name Reference) U)
- (field (name Value) FAN5333))
- (pins
- (pin (num 1) (name SW) (type passive))
- (pin (num 2) (name GND) (type power_in))
- (pin (num 3) (name FB) (type passive))
- (pin (num 4) (name /SHDN) (type input))
- (pin (num 5) (name VIN) (type power_in))))
- (libpart (lib ft81x) (part FT813Q)
- (fields
- (field (name Reference) U)
- (field (name Value) FT813Q))
- (pins
- (pin (num 1) (name R1) (type output))
- (pin (num 2) (name R0) (type output))
- (pin (num 3) (name AUDIO_L) (type output))
- (pin (num 4) (name GND) (type power_in))
- (pin (num 5) (name SCK) (type input))
- (pin (num 6) (name MISO/IO1) (type BiDi))
- (pin (num 7) (name MOSI/IO0) (type BiDi))
- (pin (num 8) (name CS_N) (type input))
- (pin (num 9) (name GPIO0/IO2) (type BiDi))
- (pin (num 10) (name GPIO1/IO3) (type BiDi))
- (pin (num 11) (name VCCIO1) (type power_in))
- (pin (num 12) (name GPIO2) (type BiDi))
- (pin (num 13) (name INT_N) (type output))
- (pin (num 14) (name PD_N) (type input))
- (pin (num 15) (name GPIO3) (type BiDi))
- (pin (num 16) (name X1/CLK) (type input))
- (pin (num 17) (name X2) (type output))
- (pin (num 18) (name GND) (type power_in))
- (pin (num 19) (name VCC) (type power_in))
- (pin (num 20) (name VOUT1V2) (type power_out))
- (pin (num 21) (name VCC) (type power_in))
- (pin (num 22) (name VCCIO2) (type power_in))
- (pin (num 23) (name CTP_RST_N) (type output))
- (pin (num 24) (name CTP_INT_N) (type input))
- (pin (num 25) (name CTP_SCL) (type output))
- (pin (num 26) (name CTP_SDA) (type output))
- (pin (num 27) (name GND) (type power_in))
- (pin (num 28) (name BL_PWM) (type output))
- (pin (num 29) (name DE) (type output))
- (pin (num 30) (name VSYNC) (type output))
- (pin (num 31) (name HSYNC) (type output))
- (pin (num 32) (name DISP) (type output))
- (pin (num 33) (name PCLK) (type output))
- (pin (num 34) (name B7) (type output))
- (pin (num 35) (name B6) (type output))
- (pin (num 36) (name B5) (type output))
- (pin (num 37) (name B4) (type output))
- (pin (num 38) (name B3) (type output))
- (pin (num 39) (name B2) (type output))
- (pin (num 40) (name B1) (type output))
- (pin (num 41) (name B0) (type output))
- (pin (num 42) (name GND) (type power_in))
- (pin (num 43) (name G7) (type output))
- (pin (num 44) (name G6) (type output))
- (pin (num 45) (name G5) (type output))
- (pin (num 46) (name G4) (type output))
- (pin (num 47) (name G3) (type output))
- (pin (num 48) (name G2) (type output))
- (pin (num 49) (name G1) (type output))
- (pin (num 50) (name G0) (type output))
- (pin (num 51) (name R7) (type output))
- (pin (num 52) (name R6) (type output))
- (pin (num 53) (name R5) (type output))
- (pin (num 54) (name R4) (type output))
- (pin (num 55) (name R3) (type output))
- (pin (num 56) (name R2) (type output))
- (pin (num 57) (name EP) (type power_in))))
- (libpart (lib ics-43434) (part ICS-43434)
- (fields
- (field (name Reference) U)
- (field (name Value) ICS-43434))
- (pins
- (pin (num 1) (name WS) (type input))
- (pin (num 2) (name LR) (type input))
- (pin (num 3) (name GND) (type power_in))
- (pin (num 4) (name SCK) (type input))
- (pin (num 5) (name VDD) (type power_in))
- (pin (num 6) (name SD) (type output))))
- (libpart (lib is25lp128) (part IS25LP128)
- (footprints
- (fp SOIJ*5.3x5.3mm*Pitch1.27mm*))
- (fields
- (field (name Reference) U)
- (field (name Value) IS25LP128)
- (field (name Footprint) Housings_SOIC:SOIJ-8_5.3x5.3mm_Pitch1.27mm))
- (pins
- (pin (num 1) (name S#) (type input))
- (pin (num 2) (name MISO/IO1) (type BiDi))
- (pin (num 3) (name WP#/IO2) (type BiDi))
- (pin (num 4) (name VSS) (type power_in))
- (pin (num 5) (name MOSI/IO0) (type BiDi))
- (pin (num 6) (name SCK) (type input))
- (pin (num 7) (name HOLD#/IO3) (type BiDi))
- (pin (num 8) (name VCC) (type power_in))))
- (libpart (lib max98357) (part MAX98357)
- (fields
- (field (name Reference) U)
- (field (name Value) MAX98357))
- (pins
- (pin (num 1) (name DIN) (type input))
- (pin (num 2) (name GAIN_SLOT) (type input))
- (pin (num 3) (name GND) (type power_in))
- (pin (num 4) (name SD_MODE) (type input))
- (pin (num 7) (name VDD) (type power_in))
- (pin (num 8) (name VDD) (type power_in))
- (pin (num 9) (name OUTP) (type output))
- (pin (num 10) (name OUTN) (type output))
- (pin (num 11) (name GND) (type power_in))
- (pin (num 14) (name LRCLK) (type input))
- (pin (num 15) (name GND) (type power_in))
- (pin (num 16) (name BCLK) (type input))
- (pin (num 17) (name EP) (type passive))))
- (libpart (lib sit1533) (part SiT1533)
+ (libpart (lib sit) (part SiT1533)
(fields
(field (name Reference) X)
(field (name Value) SiT1533))
@@ -2462,64 +2626,10 @@
(pin (num 2) (name GND) (type power_in))
(pin (num 3) (name CLKOUT) (type output))
(pin (num 4) (name VCC) (type power_in))))
- (libpart (lib sn65) (part SN65LVDS822_SWAP)
- (fields
- (field (name Reference) U)
- (field (name Value) SN65LVDS822_SWAP))
- (pins
- (pin (num 1) (name D22) (type output))
- (pin (num 2) (name D6) (type output))
- (pin (num 3) (name D7) (type output))
- (pin (num 4) (name D8) (type output))
- (pin (num 5) (name D9) (type output))
- (pin (num 6) (name VDDIO) (type power_in))
- (pin (num 7) (name D10) (type output))
- (pin (num 8) (name D11) (type output))
- (pin (num 9) (name D23) (type output))
- (pin (num 10) (name D24) (type output))
- (pin (num 11) (name D12) (type output))
- (pin (num 12) (name D13) (type output))
- (pin (num 13) (name D14) (type output))
- (pin (num 14) (name D15) (type output))
- (pin (num 15) (name D16) (type output))
- (pin (num 16) (name D17) (type output))
- (pin (num 17) (name VDDIO) (type power_in))
- (pin (num 18) (name D25) (type output))
- (pin (num 19) (name D26) (type output))
- (pin (num 20) (name D18) (type output))
- (pin (num 21) (name D19) (type output))
- (pin (num 22) (name D20) (type output))
- (pin (num 23) (name CLKPOL) (type input))
- (pin (num 24) (name VDD) (type power_in))
- (pin (num 25) (name A0N) (type input))
- (pin (num 26) (name A0P) (type input))
- (pin (num 27) (name A1N) (type input))
- (pin (num 28) (name A1P) (type input))
- (pin (num 29) (name A2N) (type input))
- (pin (num 30) (name A2P) (type input))
- (pin (num 31) (name CLKN) (type input))
- (pin (num 32) (name CLKP) (type input))
- (pin (num 33) (name A3N) (type input))
- (pin (num 34) (name A3P) (type input))
- (pin (num 35) (name SLEW) (type input))
- (pin (num 36) (name MODE14) (type input))
- (pin (num 37) (name SHTDN#) (type input))
- (pin (num 38) (name D0) (type output))
- (pin (num 39) (name D1) (type output))
- (pin (num 40) (name D2) (type output))
- (pin (num 41) (name CLKOUT) (type output))
- (pin (num 42) (name D3) (type output))
- (pin (num 43) (name VDDIO) (type power_in))
- (pin (num 44) (name VDD) (type power_in))
- (pin (num 45) (name SWAP) (type input))
- (pin (num 46) (name D4) (type output))
- (pin (num 47) (name D5) (type output))
- (pin (num 48) (name D21) (type output))
- (pin (num 49) (name GND) (type power_in))))
- (libpart (lib sn74) (part SN74CBTLV3125)
+ (libpart (lib sn74) (part SN74CBTLV3125RGY)
(fields
(field (name Reference) U)
- (field (name Value) SN74CBTLV3125))
+ (field (name Value) SN74CBTLV3125RGY))
(pins
(pin (num 1) (name /1OE) (type input))
(pin (num 2) (name 1A) (type passive))
@@ -2536,10 +2646,10 @@
(pin (num 13) (name /4OE) (type input))
(pin (num 14) (name VCC) (type power_in))
(pin (num 15) (name EP) (type power_in))))
- (libpart (lib sn74) (part SN74CBTLV3126)
+ (libpart (lib sn74) (part SN74CBTLV3126RGY)
(fields
(field (name Reference) U)
- (field (name Value) SN74CBTLV3126))
+ (field (name Value) SN74CBTLV3126RGY))
(pins
(pin (num 1) (name 1OE) (type input))
(pin (num 2) (name 1A) (type passive))
@@ -2556,10 +2666,43 @@
(pin (num 13) (name 4OE) (type input))
(pin (num 14) (name VCC) (type power_in))
(pin (num 15) (name EP) (type power_in))))
- (libpart (lib sn74) (part SN74LVC2G66)
+ (libpart (lib sn74) (part SN74LVC1G04DRY)
+ (fields
+ (field (name Reference) U)
+ (field (name Value) SN74LVC1G04DRY))
+ (pins
+ (pin (num 1) (name ~) (type NotConnected))
+ (pin (num 2) (name A) (type input))
+ (pin (num 3) (name GND) (type power_in))
+ (pin (num 4) (name Y) (type output))
+ (pin (num 5) (name ~) (type NotConnected))
+ (pin (num 6) (name VCC) (type power_in))))
+ (libpart (lib sn74) (part SN74LVC1G3157DRY)
+ (fields
+ (field (name Reference) U)
+ (field (name Value) SN74LVC1G3157DRY))
+ (pins
+ (pin (num 1) (name B2) (type BiDi))
+ (pin (num 2) (name GND) (type power_in))
+ (pin (num 3) (name B1) (type BiDi))
+ (pin (num 4) (name A) (type BiDi))
+ (pin (num 5) (name VCC) (type power_in))
+ (pin (num 6) (name S) (type input))))
+ (libpart (lib sn74) (part SN74LVC2G06DRY)
+ (fields
+ (field (name Reference) U)
+ (field (name Value) SN74LVC2G06DRY))
+ (pins
+ (pin (num 1) (name 1A) (type input))
+ (pin (num 2) (name GND) (type power_in))
+ (pin (num 3) (name 2A) (type input))
+ (pin (num 4) (name 2Y) (type openCol))
+ (pin (num 5) (name VCC) (type power_in))
+ (pin (num 6) (name 1Y) (type openCol))))
+ (libpart (lib sn74) (part SN74LVC2G66DCU)
(fields
(field (name Reference) U)
- (field (name Value) SN74LVC2G66))
+ (field (name Value) SN74LVC2G66DCU))
(pins
(pin (num 1) (name 1A) (type passive))
(pin (num 2) (name 1B) (type passive))
@@ -2569,37 +2712,72 @@
(pin (num 6) (name 2B) (type passive))
(pin (num 7) (name 1C) (type input))
(pin (num 8) (name VCC) (type power_in))))
- (libpart (lib texas-tps) (part BQ25895)
+ (libpart (lib sn74) (part SN74LVC3G06DCU)
(fields
(field (name Reference) U)
- (field (name Value) BQ25895))
+ (field (name Value) SN74LVC3G06DCU))
(pins
- (pin (num 1) (name VBUS) (type power_in))
- (pin (num 2) (name D+) (type BiDi))
- (pin (num 3) (name D-) (type BiDi))
- (pin (num 4) (name STAT) (type output))
- (pin (num 5) (name SCL) (type input))
- (pin (num 6) (name SDA) (type BiDi))
- (pin (num 7) (name INT) (type output))
- (pin (num 8) (name OTG) (type input))
- (pin (num 9) (name /CE) (type input))
- (pin (num 10) (name ILIM) (type input))
- (pin (num 11) (name TS) (type input))
- (pin (num 12) (name /QON) (type input))
- (pin (num 13) (name BAT) (type passive))
- (pin (num 14) (name BAT) (type power_out))
- (pin (num 15) (name SYS) (type passive))
- (pin (num 16) (name SYS) (type power_out))
- (pin (num 17) (name PGND) (type power_in))
- (pin (num 18) (name PGND) (type power_in))
- (pin (num 19) (name SW) (type passive))
- (pin (num 20) (name SW) (type output))
- (pin (num 21) (name BTST) (type output))
- (pin (num 22) (name REGN) (type output))
- (pin (num 23) (name PMID) (type power_out))
- (pin (num 24) (name DSEL) (type output))
- (pin (num 25) (name EP) (type power_in))))
- (libpart (lib texas-tps) (part TPS22917)
+ (pin (num 1) (name 1A) (type input))
+ (pin (num 2) (name 3Y) (type openCol))
+ (pin (num 3) (name 2A) (type input))
+ (pin (num 4) (name GND) (type power_in))
+ (pin (num 5) (name 2Y) (type openCol))
+ (pin (num 6) (name 3A) (type input))
+ (pin (num 7) (name 1Y) (type openCol))
+ (pin (num 8) (name VCC) (type power_in))))
+ (libpart (lib st) (part LSM9DS1)
+ (description "I2C SPI 9 axis IMU accelerometer gyroscope magnetometer")
+ (fields
+ (field (name Reference) U)
+ (field (name Value) LSM9DS1)
+ (field (name Footprint) Package_LGA:LGA-24L_3x3.5mm_P0.43mm))
+ (pins
+ (pin (num 1) (name VDDIO) (type power_in))
+ (pin (num 2) (name SCL/SPC) (type input))
+ (pin (num 3) (name VDDIO) (type power_in))
+ (pin (num 4) (name SDA/SDI/SDO) (type BiDi))
+ (pin (num 5) (name SDO_A/G) (type passive))
+ (pin (num 6) (name SDO_M) (type passive))
+ (pin (num 7) (name CS_A/G) (type input))
+ (pin (num 8) (name CS_M) (type input))
+ (pin (num 9) (name DRDY_M) (type output))
+ (pin (num 10) (name INT_M) (type output))
+ (pin (num 11) (name INT1_A/G) (type output))
+ (pin (num 12) (name INT2_A/G) (type output))
+ (pin (num 13) (name DEN_A/G) (type input))
+ (pin (num 14) (name RES) (type passive))
+ (pin (num 15) (name RES) (type passive))
+ (pin (num 16) (name RES) (type passive))
+ (pin (num 17) (name RES) (type passive))
+ (pin (num 18) (name RES) (type passive))
+ (pin (num 19) (name GND) (type power_in))
+ (pin (num 20) (name GND) (type power_in))
+ (pin (num 21) (name CAP) (type passive))
+ (pin (num 22) (name VDD) (type power_in))
+ (pin (num 23) (name VDD) (type power_in))
+ (pin (num 24) (name C1) (type passive))))
+ (libpart (lib tmux) (part TMUX1574RSV)
+ (fields
+ (field (name Reference) U)
+ (field (name Value) TMUX1574RSV))
+ (pins
+ (pin (num 1) (name S1B) (type BiDi))
+ (pin (num 2) (name D1) (type BiDi))
+ (pin (num 3) (name S2A) (type BiDi))
+ (pin (num 4) (name S2B) (type BiDi))
+ (pin (num 5) (name D2) (type BiDi))
+ (pin (num 6) (name GND) (type power_in))
+ (pin (num 7) (name D3) (type BiDi))
+ (pin (num 8) (name S3B) (type BiDi))
+ (pin (num 9) (name S3A) (type BiDi))
+ (pin (num 10) (name D4) (type BiDi))
+ (pin (num 11) (name S4B) (type BiDi))
+ (pin (num 12) (name S4A) (type BiDi))
+ (pin (num 13) (name /EN) (type input))
+ (pin (num 14) (name VCC) (type power_in))
+ (pin (num 15) (name SEL) (type input))
+ (pin (num 16) (name S1A) (type BiDi))))
+ (libpart (lib tps) (part TPS22917)
(fields
(field (name Reference) U)
(field (name Value) TPS22917))
@@ -2610,10 +2788,10 @@
(pin (num 4) (name CT) (type input))
(pin (num 5) (name QOD) (type output))
(pin (num 6) (name VOUT) (type power_out))))
- (libpart (lib texas-tps) (part TPS62290)
+ (libpart (lib tps) (part TPS62293)
(fields
(field (name Reference) U)
- (field (name Value) TPS62290))
+ (field (name Value) TPS62293))
(pins
(pin (num 1) (name SW) (type output))
(pin (num 2) (name MODE) (type input))
@@ -2622,7 +2800,7 @@
(pin (num 5) (name VIN) (type power_in))
(pin (num 6) (name GND) (type power_in))
(pin (num 7) (name EP) (type power_in))))
- (libpart (lib texas-tps) (part TPS630250)
+ (libpart (lib tps) (part TPS630250)
(fields
(field (name Reference) U)
(field (name Value) TPS630250))
@@ -2641,69 +2819,74 @@
(pin (num 12) (name VOUT) (type power_out))
(pin (num 13) (name VOUT) (type passive))
(pin (num 14) (name VOUT) (type passive))))
- (libpart (lib tmux) (part TMUX154E)
- (fields
- (field (name Reference) U)
- (field (name Value) TMUX154E))
- (pins
- (pin (num 1) (name A0) (type BiDi))
- (pin (num 2) (name A1) (type BiDi))
- (pin (num 3) (name A) (type BiDi))
- (pin (num 4) (name GND) (type power_in))
- (pin (num 5) (name B) (type BiDi))
- (pin (num 6) (name B1) (type BiDi))
- (pin (num 7) (name B0) (type BiDi))
- (pin (num 8) (name /EN) (type input))
- (pin (num 9) (name VCC) (type power_in))
- (pin (num 10) (name SEL) (type input))))
- (libpart (lib ts3ddr) (part TS3DDR3812)
+ (libpart (lib ts) (part TS3DV520E)
(fields
(field (name Reference) U)
- (field (name Value) TS3DDR3812))
+ (field (name Value) TS3DV520E))
(pins
(pin (num 1) (name VCC) (type power_in))
(pin (num 2) (name A0) (type BiDi))
(pin (num 3) (name A1) (type BiDi))
- (pin (num 4) (name A2) (type BiDi))
- (pin (num 5) (name A3) (type BiDi))
- (pin (num 6) (name A4) (type BiDi))
- (pin (num 7) (name A5) (type BiDi))
- (pin (num 8) (name EN) (type input))
- (pin (num 9) (name SEL1) (type input))
- (pin (num 10) (name SEL2) (type input))
- (pin (num 11) (name A6) (type BiDi))
- (pin (num 12) (name A7) (type BiDi))
- (pin (num 13) (name A8) (type BiDi))
- (pin (num 14) (name A9) (type BiDi))
- (pin (num 15) (name A10) (type BiDi))
- (pin (num 16) (name A11) (type BiDi))
- (pin (num 17) (name VCC) (type power_in))
- (pin (num 18) (name C11) (type BiDi))
- (pin (num 19) (name B11) (type BiDi))
- (pin (num 20) (name C10) (type BiDi))
- (pin (num 21) (name B10) (type BiDi))
- (pin (num 22) (name C9) (type BiDi))
- (pin (num 23) (name B9) (type BiDi))
- (pin (num 24) (name C8) (type BiDi))
- (pin (num 25) (name B8) (type BiDi))
- (pin (num 26) (name C7) (type BiDi))
- (pin (num 27) (name B7) (type BiDi))
- (pin (num 28) (name C6) (type BiDi))
- (pin (num 29) (name B6) (type BiDi))
- (pin (num 30) (name VCC) (type power_in))
- (pin (num 31) (name C5) (type BiDi))
- (pin (num 32) (name B5) (type BiDi))
- (pin (num 33) (name C4) (type BiDi))
- (pin (num 34) (name B4) (type BiDi))
- (pin (num 35) (name C3) (type BiDi))
- (pin (num 36) (name B3) (type BiDi))
- (pin (num 37) (name C2) (type BiDi))
- (pin (num 38) (name B2) (type BiDi))
- (pin (num 39) (name B1) (type BiDi))
- (pin (num 40) (name C1) (type BiDi))
- (pin (num 41) (name B0) (type BiDi))
- (pin (num 42) (name C0) (type BiDi))
- (pin (num 43) (name GND) (type power_in)))))
+ (pin (num 4) (name VCC) (type power_in))
+ (pin (num 5) (name A2) (type BiDi))
+ (pin (num 6) (name A3) (type BiDi))
+ (pin (num 7) (name VCC) (type power_in))
+ (pin (num 8) (name A4) (type BiDi))
+ (pin (num 9) (name A5) (type BiDi))
+ (pin (num 10) (name A6) (type BiDi))
+ (pin (num 11) (name A7) (type BiDi))
+ (pin (num 12) (name SEL) (type BiDi))
+ (pin (num 13) (name VCC) (type power_in))
+ (pin (num 14) (name A8) (type BiDi))
+ (pin (num 15) (name A9) (type BiDi))
+ (pin (num 16) (name 8B1) (type BiDi))
+ (pin (num 17) (name NC) (type NotConnected))
+ (pin (num 18) (name 9B1) (type BiDi))
+ (pin (num 19) (name NC) (type NotConnected))
+ (pin (num 20) (name 8B2) (type BiDi))
+ (pin (num 21) (name 9B2) (type BiDi))
+ (pin (num 22) (name VCC) (type power_in))
+ (pin (num 23) (name 7B2) (type BiDi))
+ (pin (num 24) (name 6B2) (type BiDi))
+ (pin (num 25) (name 7B1) (type BiDi))
+ (pin (num 26) (name 6B1) (type BiDi))
+ (pin (num 27) (name 5B2) (type BiDi))
+ (pin (num 28) (name 4B2) (type BiDi))
+ (pin (num 29) (name 5B1) (type BiDi))
+ (pin (num 30) (name 4B1) (type BiDi))
+ (pin (num 31) (name VCC) (type power_in))
+ (pin (num 32) (name 3B2) (type BiDi))
+ (pin (num 33) (name 2B2) (type BiDi))
+ (pin (num 34) (name 3B1) (type BiDi))
+ (pin (num 35) (name 2B1) (type BiDi))
+ (pin (num 36) (name 1B2) (type BiDi))
+ (pin (num 37) (name 0B2) (type BiDi))
+ (pin (num 38) (name 1B1) (type BiDi))
+ (pin (num 39) (name 0B1) (type BiDi))
+ (pin (num 40) (name VCC) (type power_in))
+ (pin (num 41) (name NC) (type NotConnected))
+ (pin (num 42) (name NC) (type NotConnected))
+ (pin (num 43) (name GND) (type power_in))))
+ (libpart (lib tx) (part TXS0104ERGY)
+ (fields
+ (field (name Reference) U)
+ (field (name Value) TXS0104ERGY))
+ (pins
+ (pin (num 1) (name VCCA) (type power_in))
+ (pin (num 2) (name A1) (type BiDi))
+ (pin (num 3) (name A2) (type BiDi))
+ (pin (num 4) (name A3) (type BiDi))
+ (pin (num 5) (name A4) (type BiDi))
+ (pin (num 6) (name NC) (type NotConnected))
+ (pin (num 7) (name GND) (type power_in))
+ (pin (num 8) (name OE) (type input))
+ (pin (num 9) (name NC) (type NotConnected))
+ (pin (num 10) (name B4) (type BiDi))
+ (pin (num 11) (name B3) (type BiDi))
+ (pin (num 12) (name B2) (type BiDi))
+ (pin (num 13) (name B1) (type BiDi))
+ (pin (num 14) (name VCCB) (type power_in))
+ (pin (num 15) (name Pad) (type input)))))
(libraries
(library (logical 74xx)
(uri "/Library/Application Support/kicad/library/74xx.lib"))
@@ -2715,42 +2898,46 @@
(uri "/Library/Application Support/kicad/library/Device.lib"))
(library (logical Logic_LevelTranslator)
(uri "/Library/Application Support/kicad/library/Logic_LevelTranslator.lib"))
- (library (logical SODIMM-204)
- (uri /Users/majstor/Documents/repo/hw/rvPhone/../library/SODIMM-204.lib))
(library (logical Switch)
(uri "/Library/Application Support/kicad/library/Switch.lib"))
- (library (logical Transistor_BJT)
- (uri "/Library/Application Support/kicad/library/Transistor_BJT.lib"))
- (library (logical cui-sj)
- (uri /Users/majstor/Documents/repo/hw/rvPhone/../library/cui-sj.lib))
- (library (logical drv2605l)
- (uri /Users/majstor/Documents/repo/hw/rvPhone/../library/drv2605l.lib))
- (library (logical e310-g000)
- (uri /Users/majstor/Documents/repo/hw/rvPhone/../library/e310-g000.lib))
- (library (logical esp32)
- (uri /Users/majstor/Documents/repo/hw/rvPhone/../library/esp32.lib))
- (library (logical fan5333)
- (uri /Users/majstor/Documents/repo/hw/rvPhone/../library/fan5333.lib))
+ (library (logical bq)
+ (uri /Volumes/rvPhone/repo/hw/rvPhone/../library/bq.lib))
+ (library (logical cui)
+ (uri /Volumes/rvPhone/repo/hw/rvPhone/../library/cui.lib))
+ (library (logical drv)
+ (uri /Volumes/rvPhone/repo/hw/rvPhone/../library/drv.lib))
+ (library (logical ds90)
+ (uri /Volumes/rvPhone/repo/hw/rvPhone/../library/ds90.lib))
+ (library (logical esp)
+ (uri /Volumes/rvPhone/repo/hw/rvPhone/../library/esp.lib))
+ (library (logical fan)
+ (uri /Volumes/rvPhone/repo/hw/rvPhone/../library/fan.lib))
(library (logical ft81x)
- (uri /Users/majstor/Documents/repo/hw/rvPhone/../library/ft81x.lib))
- (library (logical ics-43434)
- (uri /Users/majstor/Documents/repo/hw/rvPhone/../library/ics-43434.lib))
- (library (logical is25lp128)
- (uri /Users/majstor/Documents/repo/hw/rvPhone/../library/is25lp128.lib))
- (library (logical max98357)
- (uri /Users/majstor/Documents/repo/hw/rvPhone/../library/max98357.lib))
- (library (logical sit1533)
- (uri /Users/majstor/Documents/repo/hw/rvPhone/../library/sit1533.lib))
- (library (logical sn65)
- (uri /Users/majstor/Documents/repo/hw/rvPhone/../library/sn65.lib))
+ (uri /Volumes/rvPhone/repo/hw/rvPhone/../library/ft81x.lib))
+ (library (logical ics)
+ (uri /Volumes/rvPhone/repo/hw/rvPhone/../library/ics.lib))
+ (library (logical issi)
+ (uri /Volumes/rvPhone/repo/hw/rvPhone/../library/issi.lib))
+ (library (logical max)
+ (uri /Volumes/rvPhone/repo/hw/rvPhone/../library/max.lib))
+ (library (logical myConn)
+ (uri /Volumes/rvPhone/repo/hw/rvPhone/../library/myConn.lib))
+ (library (logical sifive)
+ (uri /Volumes/rvPhone/repo/hw/rvPhone/../library/sifive.lib))
+ (library (logical sit)
+ (uri /Volumes/rvPhone/repo/hw/rvPhone/../library/sit.lib))
(library (logical sn74)
- (uri /Users/majstor/Documents/repo/hw/rvPhone/../library/sn74.lib))
- (library (logical texas-tps)
- (uri /Users/majstor/Documents/repo/hw/rvPhone/../library/texas-tps.lib))
+ (uri /Volumes/rvPhone/repo/hw/rvPhone/../library/sn74.lib))
+ (library (logical st)
+ (uri /Volumes/rvPhone/repo/hw/library/st.lib))
(library (logical tmux)
- (uri /Users/majstor/Documents/repo/hw/rvPhone/../library/tmux.lib))
- (library (logical ts3ddr)
- (uri /Users/majstor/Documents/repo/hw/rvPhone/../library/ts3ddr.lib)))
+ (uri /Volumes/rvPhone/repo/hw/rvPhone/../library/tmux.lib))
+ (library (logical tps)
+ (uri /Volumes/rvPhone/repo/hw/rvPhone/../library/tps.lib))
+ (library (logical ts)
+ (uri /Volumes/rvPhone/repo/hw/rvPhone/../library/ts.lib))
+ (library (logical tx)
+ (uri /Volumes/rvPhone/repo/hw/rvPhone/../library/tx.lib)))
(nets
(net (code 1) (name "Net-(U10-Pad15)")
(node (ref U10) (pin 15)))
@@ -2785,1770 +2972,1793 @@
(net (code 16) (name "Net-(U9-Pad7)")
(node (ref U9) (pin 7)))
(net (code 17) (name PWM1.2)
+ (node (ref U7) (pin 2))
+ (node (ref U4) (pin 43))
(node (ref U7) (pin 13))
- (node (ref U5) (pin 43))
- (node (ref R17) (pin 2))
- (node (ref U7) (pin 2)))
+ (node (ref R17) (pin 2)))
(net (code 18) (name GPIO_18)
(node (ref U7) (pin 5))
- (node (ref R21) (pin 2))
(node (ref U7) (pin 10))
+ (node (ref U4) (pin 40))
(node (ref U7) (pin 9))
- (node (ref U5) (pin 40)))
+ (node (ref R21) (pin 2)))
(net (code 19) (name "Net-(L2-Pad1)")
(node (ref L2) (pin 1))
(node (ref U2) (pin 1)))
- (net (code 20) (name "Net-(Q1-Pad1)")
- (node (ref R15) (pin 1))
- (node (ref Q1) (pin 1)))
- (net (code 21) (name PWM2.1)
- (node (ref U5) (pin 35))
- (node (ref U8) (pin 10))
- (node (ref R20) (pin 2))
- (node (ref U12) (pin 14)))
- (net (code 22) (name +1V8)
- (node (ref C8) (pin 1))
- (node (ref L2) (pin 2))
- (node (ref U204) (pin 1))
- (node (ref U203) (pin 8))
+ (net (code 20) (name +1V8)
(node (ref U203) (pin 1))
- (node (ref C22) (pin 1))
- (node (ref U5) (pin 30))
- (node (ref U5) (pin 46))
- (node (ref U5) (pin 6))
+ (node (ref U203) (pin 8))
+ (node (ref U204) (pin 1))
+ (node (ref C436) (pin 1))
+ (node (ref R401) (pin 1))
+ (node (ref C403) (pin 1))
+ (node (ref U406) (pin 7))
+ (node (ref U406) (pin 40))
+ (node (ref U406) (pin 4))
+ (node (ref U406) (pin 31))
+ (node (ref U406) (pin 22))
+ (node (ref U406) (pin 13))
+ (node (ref U406) (pin 1))
+ (node (ref U403) (pin 28))
(node (ref R12) (pin 1))
- (node (ref C21) (pin 1))
(node (ref C20) (pin 1))
- (node (ref C212) (pin 1))
- (node (ref U2) (pin 3))
- (node (ref C214) (pin 1))
- (node (ref JP202) (pin 3)))
- (net (code 23) (name GPIO_23)
- (node (ref J206) (pin 1))
- (node (ref U5) (pin 45)))
- (net (code 24) (name GPIO_22)
- (node (ref U5) (pin 44))
- (node (ref U201) (pin 33)))
- (net (code 25) (name GPIO_20)
- (node (ref U5) (pin 42))
+ (node (ref C19) (pin 1))
+ (node (ref C414) (pin 1))
+ (node (ref U408) (pin 1))
+ (node (ref U402) (pin 8))
+ (node (ref J402) (pin 2))
+ (node (ref U409) (pin 5))
+ (node (ref C438) (pin 1))
+ (node (ref C8) (pin 1))
+ (node (ref C21) (pin 1))
+ (node (ref L2) (pin 2))
+ (node (ref C426) (pin 1))
+ (node (ref C425) (pin 1))
+ (node (ref C424) (pin 1))
+ (node (ref C423) (pin 1))
+ (node (ref C422) (pin 1))
+ (node (ref C421) (pin 1))
+ (node (ref C433) (pin 1))
+ (node (ref C432) (pin 1))
+ (node (ref C431) (pin 1))
+ (node (ref C430) (pin 1))
+ (node (ref C429) (pin 1))
+ (node (ref C428) (pin 1))
+ (node (ref C427) (pin 1))
+ (node (ref U405) (pin 48))
+ (node (ref U405) (pin 25))
+ (node (ref U405) (pin 13))
+ (node (ref U4) (pin 46))
+ (node (ref C208) (pin 1))
+ (node (ref JP201) (pin 3))
+ (node (ref C206) (pin 1))
+ (node (ref U4) (pin 30))
+ (node (ref U4) (pin 6))
+ (node (ref JP401) (pin 1))
+ (node (ref U2) (pin 3)))
+ (net (code 21) (name GPIO_23)
+ (node (ref U4) (pin 45))
+ (node (ref J204) (pin 1)))
+ (net (code 22) (name GPIO_22)
+ (node (ref U201) (pin 33))
+ (node (ref U4) (pin 44)))
+ (net (code 23) (name GPIO_20)
+ (node (ref U4) (pin 42))
(node (ref U201) (pin 36)))
- (net (code 26) (name UART0.TX)
- (node (ref U5) (pin 39))
- (node (ref J304) (pin 10)))
- (net (code 27) (name UART0.RX)
- (node (ref J304) (pin 11))
- (node (ref U5) (pin 38)))
- (net (code 28) (name GPIO_13)
- (node (ref U5) (pin 37))
- (node (ref U4) (pin 3)))
- (net (code 29) (name GPIO_12)
- (node (ref U4) (pin 5))
- (node (ref U5) (pin 36)))
- (net (code 30) (name SPI1.SS3)
- (node (ref U202) (pin 1))
- (node (ref U202) (pin 4))
- (node (ref U202) (pin 10))
- (node (ref U202) (pin 13))
- (node (ref R207) (pin 2))
- (node (ref U5) (pin 34)))
- (net (code 31) (name SPI1.SS2)
- (node (ref U5) (pin 33))
- (node (ref R27) (pin 2))
- (node (ref U13) (pin 8)))
- (net (code 32) (name SPI1.SCK)
+ (net (code 24) (name PWM1.1)
+ (node (ref U4) (pin 41))
+ (node (ref U6) (pin 2)))
+ (net (code 25) (name UART0.TX)
+ (node (ref J302) (pin 10))
+ (node (ref U4) (pin 39)))
+ (net (code 26) (name UART0.RX)
+ (node (ref J302) (pin 11))
+ (node (ref U4) (pin 38)))
+ (net (code 27) (name GPIO_13)
+ (node (ref U4) (pin 37))
+ (node (ref U6) (pin 5)))
+ (net (code 28) (name GPIO_12)
+ (node (ref U4) (pin 36))
+ (node (ref U6) (pin 7)))
+ (net (code 29) (name SPI1.SS3)
+ (node (ref R209) (pin 2))
+ (node (ref U4) (pin 34))
+ (node (ref J205) (pin 2)))
+ (net (code 30) (name SPI1.SS2)
+ (node (ref R407) (pin 2))
+ (node (ref U4) (pin 33))
+ (node (ref U403) (pin 6)))
+ (net (code 31) (name SPI1.SCK)
+ (node (ref U202) (pin 11))
+ (node (ref U403) (pin 3))
+ (node (ref U4) (pin 31))
(node (ref J205) (pin 5))
- (node (ref U206) (pin 8))
- (node (ref U5) (pin 31))
- (node (ref U13) (pin 5))
- (node (ref U202) (pin 11)))
- (net (code 33) (name SPI1.MISO)
+ (node (ref U208) (pin 8))
+ (node (ref J402) (pin 21)))
+ (net (code 32) (name SPI1.MISO)
+ (node (ref J402) (pin 19))
(node (ref U202) (pin 6))
+ (node (ref U4) (pin 29))
+ (node (ref U403) (pin 4))
(node (ref J205) (pin 7))
- (node (ref U13) (pin 6))
- (node (ref U206) (pin 6))
- (node (ref U5) (pin 29)))
- (net (code 34) (name SPI1.MOSI)
- (node (ref U5) (pin 28))
- (node (ref U206) (pin 3))
- (node (ref U13) (pin 7))
+ (node (ref U208) (pin 6)))
+ (net (code 33) (name SPI1.MOSI)
(node (ref J205) (pin 3))
- (node (ref U202) (pin 8)))
- (net (code 35) (name SPI1.SS0)
- (node (ref U5) (pin 27))
- (node (ref J205) (pin 2))
- (node (ref R209) (pin 2)))
+ (node (ref U403) (pin 5))
+ (node (ref J402) (pin 20))
+ (node (ref U208) (pin 3))
+ (node (ref U202) (pin 8))
+ (node (ref U4) (pin 28)))
+ (net (code 34) (name SPI1.SS0)
+ (node (ref U202) (pin 1))
+ (node (ref U4) (pin 27))
+ (node (ref R203) (pin 2))
+ (node (ref U202) (pin 4))
+ (node (ref U202) (pin 13))
+ (node (ref U202) (pin 10)))
+ (net (code 35) (name PWM0.1)
+ (node (ref U6) (pin 10))
+ (node (ref U4) (pin 26)))
(net (code 36) (name GPIO_0)
- (node (ref U5) (pin 25))
- (node (ref U13) (pin 13))
- (node (ref R28) (pin 2)))
+ (node (ref R408) (pin 2))
+ (node (ref U4) (pin 25))
+ (node (ref U403) (pin 11)))
(net (code 37) (name JTAG_TCK)
- (node (ref J304) (pin 4))
- (node (ref U5) (pin 13)))
+ (node (ref J302) (pin 4))
+ (node (ref U4) (pin 13)))
(net (code 38) (name JTAG_TDO)
- (node (ref J304) (pin 5))
- (node (ref U5) (pin 14)))
+ (node (ref U4) (pin 14))
+ (node (ref J302) (pin 5)))
(net (code 39) (name JTAG_TMS)
- (node (ref U5) (pin 15))
- (node (ref J304) (pin 6)))
+ (node (ref U4) (pin 15))
+ (node (ref J302) (pin 6)))
(net (code 40) (name JTAG_TDI)
- (node (ref U5) (pin 16))
- (node (ref J304) (pin 7)))
- (net (code 41) (name "Net-(U5-Pad22)")
- (node (ref U5) (pin 22)))
- (net (code 42) (name "Net-(U5-Pad17)")
- (node (ref U5) (pin 17)))
- (net (code 43) (name "Net-(X1-Pad1)")
- (node (ref X1) (pin 1)))
- (net (code 44) (name "Net-(U8-Pad11)")
- (node (ref U8) (pin 11)))
- (net (code 45) (name VBUS)
- (node (ref U1) (pin 1))
- (node (ref C4) (pin 1))
- (node (ref J1) (pin 1)))
- (net (code 46) (name "Net-(J1-Pad3)")
- (node (ref U1) (pin 2))
- (node (ref J1) (pin 3)))
- (net (code 47) (name "Net-(J1-Pad2)")
- (node (ref U1) (pin 3))
- (node (ref J1) (pin 2)))
- (net (code 48) (name TMUX.I2C0.SCL)
- (node (ref U201) (pin 10))
- (node (ref U4) (pin 1))
- (node (ref R5) (pin 2))
- (node (ref U208) (pin 2))
- (node (ref U1) (pin 5))
- (node (ref U205) (pin 2)))
- (net (code 49) (name TMUX.I2C0.SDA)
- (node (ref U205) (pin 3))
- (node (ref R6) (pin 2))
- (node (ref U208) (pin 5))
- (node (ref U4) (pin 7))
- (node (ref U201) (pin 11))
- (node (ref U1) (pin 6)))
- (net (code 50) (name ESP32.IO39)
- (node (ref U1) (pin 7))
- (node (ref U201) (pin 5))
- (node (ref R7) (pin 2)))
- (net (code 51) (name "Net-(R1-Pad1)")
- (node (ref R1) (pin 1))
- (node (ref U1) (pin 10)))
- (net (code 52) (name "Net-(R2-Pad2)")
- (node (ref U1) (pin 11))
- (node (ref R4) (pin 1))
- (node (ref R2) (pin 2)))
- (net (code 53) (name "Net-(C1-Pad2)")
- (node (ref C1) (pin 2))
- (node (ref U1) (pin 21)))
- (net (code 54) (name "Net-(C5-Pad1)")
- (node (ref U1) (pin 22))
- (node (ref C5) (pin 1))
- (node (ref R2) (pin 1)))
- (net (code 55) (name "Net-(C6-Pad1)")
- (node (ref U1) (pin 13))
- (node (ref J2) (pin 1))
- (node (ref C6) (pin 1))
- (node (ref U1) (pin 14)))
- (net (code 56) (name "Net-(U1-Pad24)")
- (node (ref U1) (pin 24)))
- (net (code 57) (name +VSYS)
- (node (ref C316) (pin 1))
- (node (ref J301) (pin 114))
- (node (ref J301) (pin 204))
- (node (ref C315) (pin 1))
- (node (ref C314) (pin 1))
- (node (ref C313) (pin 1))
- (node (ref C312) (pin 1))
- (node (ref C50) (pin 1))
- (node (ref C51) (pin 1))
- (node (ref R23) (pin 1))
- (node (ref L4) (pin 1))
- (node (ref U14) (pin 5))
- (node (ref C311) (pin 1))
- (node (ref J301) (pin 132))
- (node (ref C310) (pin 1))
- (node (ref J301) (pin 150))
- (node (ref J301) (pin 78))
- (node (ref C309) (pin 1))
- (node (ref C318) (pin 1))
- (node (ref C317) (pin 1))
- (node (ref U3) (pin 8))
- (node (ref C9) (pin 1))
- (node (ref U3) (pin 7))
- (node (ref U3) (pin 6))
- (node (ref U3) (pin 5))
- (node (ref U3) (pin 4))
- (node (ref J301) (pin 28))
- (node (ref C3) (pin 1))
- (node (ref C2) (pin 1))
- (node (ref J301) (pin 96))
- (node (ref L1) (pin 2))
- (node (ref D1) (pin 2))
- (node (ref J301) (pin 46))
- (node (ref J301) (pin 64))
- (node (ref J301) (pin 10))
- (node (ref U2) (pin 5))
- (node (ref U1) (pin 16))
- (node (ref U1) (pin 15))
- (node (ref C302) (pin 1))
- (node (ref C303) (pin 1))
- (node (ref U2) (pin 4))
- (node (ref C304) (pin 1))
- (node (ref C305) (pin 1))
- (node (ref C306) (pin 1))
- (node (ref J301) (pin 186))
- (node (ref C307) (pin 1))
- (node (ref J301) (pin 168))
- (node (ref C7) (pin 1))
- (node (ref C308) (pin 1)))
- (net (code 58) (name "Net-(R3-Pad1)")
+ (node (ref J302) (pin 7))
+ (node (ref U4) (pin 16)))
+ (net (code 41) (name "Net-(U4-Pad22)")
+ (node (ref U4) (pin 22)))
+ (net (code 42) (name "Net-(U4-Pad17)")
+ (node (ref U4) (pin 17)))
+ (net (code 43) (name "Net-(R3-Pad1)")
(node (ref U1) (pin 4))
(node (ref R3) (pin 1)))
- (net (code 59) (name "Net-(D1-Pad1)")
+ (net (code 44) (name "Net-(D1-Pad1)")
(node (ref R3) (pin 2))
(node (ref D1) (pin 1)))
- (net (code 60) (name "Net-(J1-Pad4)")
+ (net (code 45) (name "Net-(J1-Pad4)")
(node (ref J1) (pin 4)))
- (net (code 61) (name "Net-(J1-Pad6)")
+ (net (code 46) (name "Net-(J1-Pad6)")
(node (ref J1) (pin 6)))
- (net (code 62) (name "Net-(L3-Pad2)")
- (node (ref U3) (pin 1))
- (node (ref L3) (pin 2)))
- (net (code 63) (name /SPK_EN)
+ (net (code 47) (name "Net-(L3-Pad2)")
+ (node (ref L3) (pin 2))
+ (node (ref U3) (pin 1)))
+ (net (code 48) (name /SPK_EN)
(node (ref U7) (pin 11))
(node (ref U8) (pin 4))
- (node (ref U12) (pin 4))
- (node (ref U4) (pin 10))
- (node (ref U8) (pin 5)))
- (net (code 64) (name PWM1.1)
- (node (ref R19) (pin 2))
- (node (ref U11) (pin 1))
- (node (ref U5) (pin 41)))
- (net (code 65) (name PWM0.1)
- (node (ref U5) (pin 26))
- (node (ref U11) (pin 4))
- (node (ref R18) (pin 2))
- (node (ref U7) (pin 1))
- (node (ref U8) (pin 1))
- (node (ref U8) (pin 2)))
- (net (code 66) (name /SPK_VO+)
- (node (ref C37) (pin 1))
- (node (ref J3) (pin 8))
- (node (ref U12) (pin 9)))
- (net (code 67) (name /SPK_VO-)
- (node (ref C36) (pin 1))
- (node (ref LS1) (pin 2))
- (node (ref U12) (pin 10))
- (node (ref J3) (pin 1)))
- (net (code 68) (name /SPK_GAIN)
+ (node (ref U8) (pin 5))
+ (node (ref R23) (pin 2))
+ (node (ref R24) (pin 2))
+ (node (ref U6) (pin 15)))
+ (net (code 49) (name /SPK_GAIN)
+ (node (ref U15) (pin 4))
(node (ref U12) (pin 2))
- (node (ref J3) (pin 5)))
- (net (code 69) (name "Net-(J3-Pad4)")
+ (node (ref U13) (pin 2)))
+ (net (code 50) (name /SPK_SD)
+ (node (ref U6) (pin 4))
+ (node (ref U10) (pin 9))
+ (node (ref U12) (pin 1))
+ (node (ref U13) (pin 1)))
+ (net (code 51) (name /SPK_L+)
+ (node (ref J3) (pin 4))
+ (node (ref LS1) (pin 1)))
+ (net (code 52) (name /SPK_CLK)
+ (node (ref U13) (pin 16))
+ (node (ref U12) (pin 16))
+ (node (ref U8) (pin 11)))
+ (net (code 53) (name "Net-(U1-Pad24)")
+ (node (ref U1) (pin 24)))
+ (net (code 54) (name +3V3)
+ (node (ref U209) (pin 6))
+ (node (ref C31) (pin 1))
+ (node (ref U209) (pin 7))
+ (node (ref R5) (pin 1))
+ (node (ref C209) (pin 1))
+ (node (ref C218) (pin 2))
+ (node (ref U4) (pin 47))
+ (node (ref C225) (pin 1))
+ (node (ref C207) (pin 1))
+ (node (ref U4) (pin 32))
+ (node (ref R13) (pin 1))
(node (ref R14) (pin 1))
- (node (ref J3) (pin 4)))
- (net (code 70) (name "Net-(J3-Pad10)")
- (node (ref J3) (pin 10)))
- (net (code 71) (name "Net-(J3-Pad11)")
- (node (ref J3) (pin 11)))
- (net (code 72) (name /EAR_VO+)
- (node (ref LS1) (pin 1))
- (node (ref J3) (pin 7)))
- (net (code 73) (name "Net-(U13-Pad3)")
- (node (ref U13) (pin 3)))
- (net (code 74) (name "Net-(U13-Pad12)")
- (node (ref U13) (pin 12)))
- (net (code 75) (name "Net-(C54-Pad1)")
- (node (ref C54) (pin 1))
- (node (ref R29) (pin 2))
- (node (ref U13) (pin 14)))
- (net (code 76) (name "Net-(C46-Pad1)")
- (node (ref U13) (pin 20))
- (node (ref C46) (pin 1))
- (node (ref C47) (pin 1)))
- (net (code 77) (name /SPK_CLK)
- (node (ref U8) (pin 3))
- (node (ref U12) (pin 16)))
- (net (code 78) (name "Net-(C38-Pad1)")
- (node (ref C38) (pin 1))
- (node (ref Y2) (pin 1))
- (node (ref U13) (pin 16)))
- (net (code 79) (name "Net-(C39-Pad1)")
- (node (ref U13) (pin 17))
- (node (ref Y2) (pin 2))
- (node (ref C39) (pin 1)))
- (net (code 80) (name DISP_LED_K)
- (node (ref U14) (pin 3))
- (node (ref D3) (pin 2))
- (node (ref J303) (pin 1))
- (node (ref R24) (pin 1))
- (node (ref R25) (pin 1))
- (node (ref C52) (pin 1))
- (node (ref C53) (pin 1))
- (node (ref R26) (pin 1)))
- (net (code 81) (name DISP_LED_A)
- (node (ref J303) (pin 2))
- (node (ref C49) (pin 1))
- (node (ref D2) (pin 1))
- (node (ref D3) (pin 1))
- (node (ref C48) (pin 1)))
- (net (code 82) (name "Net-(D2-Pad2)")
- (node (ref U14) (pin 1))
- (node (ref L4) (pin 2))
- (node (ref D2) (pin 2)))
- (net (code 83) (name +3V3)
- (node (ref C23) (pin 1))
- (node (ref C19) (pin 1))
- (node (ref C16) (pin 1))
- (node (ref C18) (pin 1))
- (node (ref U6) (pin 8))
- (node (ref C24) (pin 1))
- (node (ref R10) (pin 2))
- (node (ref R11) (pin 2))
- (node (ref C12) (pin 1))
- (node (ref X1) (pin 4))
- (node (ref C201) (pin 1))
- (node (ref C202) (pin 1))
- (node (ref C17) (pin 1))
+ (node (ref R7) (pin 1))
+ (node (ref U209) (pin 5))
+ (node (ref R202) (pin 1))
(node (ref R201) (pin 1))
- (node (ref U201) (pin 2))
- (node (ref C209) (pin 1))
- (node (ref U13) (pin 19))
- (node (ref C10) (pin 1))
- (node (ref R209) (pin 1))
- (node (ref C11) (pin 1))
- (node (ref C227) (pin 1))
+ (node (ref C202) (pin 1))
+ (node (ref C201) (pin 1))
+ (node (ref U209) (pin 3))
+ (node (ref U209) (pin 23))
+ (node (ref U209) (pin 22))
+ (node (ref C33) (pin 1))
(node (ref R8) (pin 1))
- (node (ref R17) (pin 1))
- (node (ref J202) (pin 52))
- (node (ref C30) (pin 1))
- (node (ref R303) (pin 1))
- (node (ref J202) (pin 41))
- (node (ref J202) (pin 39))
- (node (ref R302) (pin 1))
- (node (ref R301) (pin 1))
- (node (ref J202) (pin 24))
- (node (ref J202) (pin 2))
- (node (ref R5) (pin 1))
- (node (ref R6) (pin 1))
- (node (ref R7) (pin 1))
- (node (ref C15) (pin 1))
- (node (ref J302) (pin 3))
- (node (ref U4) (pin 9))
- (node (ref U204) (pin 14))
- (node (ref U203) (pin 14))
- (node (ref J303) (pin 4))
+ (node (ref C211) (pin 1))
+ (node (ref U209) (pin 1))
+ (node (ref C226) (pin 1))
+ (node (ref C11) (pin 1))
+ (node (ref U4) (pin 23))
+ (node (ref U4) (pin 19))
+ (node (ref C10) (pin 1))
+ (node (ref C210) (pin 1))
+ (node (ref U4) (pin 12))
+ (node (ref U4) (pin 11))
(node (ref U11) (pin 5))
- (node (ref J205) (pin 4))
- (node (ref C45) (pin 1))
+ (node (ref U5) (pin 8))
+ (node (ref X1) (pin 4))
+ (node (ref C29) (pin 1))
+ (node (ref C212) (pin 1))
(node (ref U3) (pin 12))
(node (ref U3) (pin 13))
(node (ref U3) (pin 14))
- (node (ref C40) (pin 1))
- (node (ref R202) (pin 1))
- (node (ref C32) (pin 1))
(node (ref C213) (pin 1))
- (node (ref R29) (pin 1))
- (node (ref C33) (pin 1))
- (node (ref C228) (pin 1))
- (node (ref R305) (pin 1))
- (node (ref R35) (pin 1))
- (node (ref U304) (pin 1))
- (node (ref C224) (pin 1))
- (node (ref C226) (pin 1))
- (node (ref C225) (pin 1))
- (node (ref C222) (pin 1))
- (node (ref C223) (pin 1))
- (node (ref U304) (pin 17))
- (node (ref C323) (pin 1))
- (node (ref U205) (pin 5))
- (node (ref U205) (pin 6))
- (node (ref C324) (pin 1))
- (node (ref C220) (pin 1))
- (node (ref C221) (pin 1))
- (node (ref U205) (pin 10))
- (node (ref U304) (pin 8))
- (node (ref U303) (pin 1))
- (node (ref U303) (pin 17))
- (node (ref C215) (pin 2))
- (node (ref C43) (pin 1))
- (node (ref U209) (pin 1))
- (node (ref U303) (pin 30))
- (node (ref C329) (pin 1))
- (node (ref C330) (pin 1))
- (node (ref C331) (pin 1))
- (node (ref C332) (pin 1))
- (node (ref C333) (pin 1))
- (node (ref C334) (pin 1))
- (node (ref C335) (pin 1))
- (node (ref U12) (pin 7))
- (node (ref U12) (pin 8))
- (node (ref C336) (pin 1))
- (node (ref U303) (pin 8))
- (node (ref U302) (pin 1))
- (node (ref U302) (pin 17))
- (node (ref U302) (pin 30))
- (node (ref R36) (pin 1))
- (node (ref C41) (pin 1))
- (node (ref C219) (pin 1))
- (node (ref U208) (pin 8))
- (node (ref Q1) (pin 2))
- (node (ref C34) (pin 1))
- (node (ref C44) (pin 1))
- (node (ref U302) (pin 8))
- (node (ref U301) (pin 17))
+ (node (ref U6) (pin 14))
(node (ref U10) (pin 10))
- (node (ref U301) (pin 24))
- (node (ref U301) (pin 43))
+ (node (ref C227) (pin 1))
(node (ref U10) (pin 13))
+ (node (ref U207) (pin 8))
(node (ref U10) (pin 16))
- (node (ref C218) (pin 1))
- (node (ref U301) (pin 44))
- (node (ref U301) (pin 45))
- (node (ref U207) (pin 1))
- (node (ref C217) (pin 1))
+ (node (ref U208) (pin 14))
+ (node (ref C12) (pin 1))
(node (ref U9) (pin 10))
- (node (ref C35) (pin 1))
- (node (ref U301) (pin 6))
+ (node (ref U202) (pin 14))
(node (ref U9) (pin 13))
- (node (ref C337) (pin 1))
+ (node (ref U14) (pin 6))
(node (ref U9) (pin 16))
- (node (ref C338) (pin 1))
- (node (ref C339) (pin 1))
- (node (ref C340) (pin 1))
- (node (ref C42) (pin 1))
- (node (ref U206) (pin 14))
- (node (ref R27) (pin 1))
- (node (ref R13) (pin 1))
- (node (ref U304) (pin 30))
+ (node (ref U209) (pin 8))
+ (node (ref R11) (pin 2))
+ (node (ref R10) (pin 2))
+ (node (ref C205) (pin 1))
+ (node (ref R412) (pin 1))
+ (node (ref U206) (pin 1))
+ (node (ref U205) (pin 10))
+ (node (ref U205) (pin 6))
+ (node (ref C27) (pin 1))
+ (node (ref C411) (pin 1))
+ (node (ref C413) (pin 1))
+ (node (ref R27) (pin 2))
(node (ref U7) (pin 14))
- (node (ref R207) (pin 1))
- (node (ref C210) (pin 1))
+ (node (ref C412) (pin 1))
+ (node (ref R407) (pin 1))
+ (node (ref R408) (pin 1))
(node (ref U8) (pin 14))
- (node (ref U202) (pin 14))
- (node (ref C325) (pin 1))
- (node (ref C326) (pin 1))
- (node (ref C327) (pin 1))
- (node (ref C328) (pin 1))
- (node (ref C342) (pin 1))
- (node (ref C211) (pin 1))
- (node (ref C341) (pin 1))
- (node (ref R28) (pin 1))
- (node (ref U13) (pin 11))
- (node (ref U13) (pin 21))
- (node (ref C319) (pin 1))
- (node (ref C320) (pin 1))
- (node (ref C321) (pin 1))
- (node (ref C322) (pin 1))
- (node (ref C31) (pin 1))
- (node (ref U13) (pin 22))
- (node (ref U5) (pin 47))
- (node (ref U5) (pin 11))
- (node (ref U5) (pin 12))
- (node (ref U5) (pin 19))
- (node (ref U5) (pin 23))
- (node (ref U5) (pin 32))
- (node (ref C29) (pin 1)))
- (net (code 84) (name "Net-(BZ1-Pad1)")
- (node (ref Q1) (pin 3))
- (node (ref R16) (pin 1))
- (node (ref BZ1) (pin 1)))
- (net (code 85) (name "Net-(U8-Pad6)")
- (node (ref U8) (pin 6))
- (node (ref U8) (pin 9)))
- (net (code 86) (name WAKE)
+ (node (ref U205) (pin 5))
+ (node (ref JP401) (pin 3))
+ (node (ref C22) (pin 1))
+ (node (ref U201) (pin 2))
+ (node (ref C223) (pin 1))
+ (node (ref C18) (pin 1))
+ (node (ref R9) (pin 1))
+ (node (ref C204) (pin 1))
+ (node (ref R406) (pin 1))
+ (node (ref R410) (pin 1))
+ (node (ref R409) (pin 1))
+ (node (ref C420) (pin 1))
+ (node (ref U403) (pin 17))
+ (node (ref U403) (pin 24))
+ (node (ref C40) (pin 1))
+ (node (ref C32) (pin 1))
+ (node (ref U403) (pin 27))
+ (node (ref U403) (pin 9))
+ (node (ref R411) (pin 1))
+ (node (ref R203) (pin 1))
+ (node (ref C28) (pin 1))
+ (node (ref R416) (pin 1))
+ (node (ref R415) (pin 1))
+ (node (ref R414) (pin 1))
+ (node (ref C439) (pin 1))
+ (node (ref J401) (pin 3))
+ (node (ref U404) (pin 8))
+ (node (ref R209) (pin 1))
+ (node (ref C222) (pin 1))
+ (node (ref C220) (pin 1))
+ (node (ref C15) (pin 1))
+ (node (ref C17) (pin 1))
+ (node (ref C16) (pin 1))
+ (node (ref C224) (pin 1))
+ (node (ref J201) (pin 52))
+ (node (ref J201) (pin 41))
+ (node (ref J201) (pin 39))
+ (node (ref J201) (pin 24))
+ (node (ref J201) (pin 2))
+ (node (ref C221) (pin 1))
+ (node (ref U204) (pin 14))
+ (node (ref U203) (pin 14))
+ (node (ref R17) (pin 1))
+ (node (ref J205) (pin 4))
+ (node (ref C30) (pin 1))
+ (node (ref C440) (pin 1))
+ (node (ref J402) (pin 1))
+ (node (ref U407) (pin 14))
+ (node (ref C434) (pin 1)))
+ (net (code 55) (name WAKE)
+ (node (ref U4) (pin 18))
(node (ref U201) (pin 25))
(node (ref C14) (pin 1))
(node (ref R11) (pin 1))
- (node (ref U5) (pin 18))
- (node (ref U1) (pin 12))
- (node (ref SW2) (pin 1)))
- (net (code 87) (name RESET)
+ (node (ref SW2) (pin 1))
+ (node (ref U1) (pin 12)))
+ (net (code 56) (name RESET)
+ (node (ref U4) (pin 24))
+ (node (ref J302) (pin 8))
(node (ref SW1) (pin 2))
- (node (ref J304) (pin 8))
- (node (ref U5) (pin 24))
(node (ref C13) (pin 1))
(node (ref R10) (pin 1)))
- (net (code 88) (name "Net-(C1-Pad1)")
+ (net (code 57) (name "Net-(R1-Pad1)")
+ (node (ref R1) (pin 1))
+ (node (ref U1) (pin 10)))
+ (net (code 58) (name "Net-(C1-Pad2)")
+ (node (ref U1) (pin 21))
+ (node (ref C1) (pin 2)))
+ (net (code 59) (name "Net-(C1-Pad1)")
+ (node (ref U1) (pin 20))
(node (ref U1) (pin 19))
(node (ref C1) (pin 1))
- (node (ref U1) (pin 20))
(node (ref L1) (pin 1)))
- (net (code 89) (name "Net-(L3-Pad1)")
+ (net (code 60) (name "Net-(L3-Pad1)")
(node (ref L3) (pin 1))
(node (ref U3) (pin 3)))
- (net (code 90) (name /I2S_SD_OUT)
- (node (ref U9) (pin 14))
- (node (ref U4) (pin 6))
+ (net (code 61) (name /MIC_SD)
(node (ref U11) (pin 6))
+ (node (ref U9) (pin 14))
+ (node (ref U6) (pin 8))
(node (ref R22) (pin 2)))
- (net (code 91) (name "Net-(J3-Pad2)")
- (node (ref J3) (pin 2))
- (node (ref J3) (pin 3))
- (node (ref J3) (pin 9)))
- (net (code 92) (name /I2S_SD_IN)
- (node (ref U10) (pin 9))
- (node (ref U12) (pin 1))
- (node (ref U4) (pin 2)))
- (net (code 93) (name /PSD_LFALTCLK)
- (node (ref X1) (pin 3))
- (node (ref U5) (pin 20)))
- (net (code 94) (name "Net-(R15-Pad2)")
- (node (ref R15) (pin 2))
- (node (ref U8) (pin 8)))
- (net (code 95) (name "Net-(R8-Pad2)")
+ (net (code 62) (name /MIC_CLK)
+ (node (ref U11) (pin 4))
+ (node (ref U6) (pin 11))
+ (node (ref U8) (pin 12))
+ (node (ref R18) (pin 2))
+ (node (ref U8) (pin 13))
+ (node (ref U7) (pin 1)))
+ (net (code 63) (name /MIC_WS)
+ (node (ref U11) (pin 1))
+ (node (ref R19) (pin 2))
+ (node (ref U6) (pin 1)))
+ (net (code 64) (name /PSD_LFALTCLK)
+ (node (ref U4) (pin 20))
+ (node (ref X1) (pin 3)))
+ (net (code 65) (name "Net-(R2-Pad2)")
+ (node (ref U1) (pin 11))
+ (node (ref R2) (pin 2))
+ (node (ref R4) (pin 1)))
+ (net (code 66) (name "Net-(C6-Pad1)")
+ (node (ref C6) (pin 1))
+ (node (ref J2) (pin 1))
+ (node (ref U1) (pin 13))
+ (node (ref U1) (pin 14)))
+ (net (code 67) (name VBUS)
+ (node (ref C4) (pin 1))
+ (node (ref J1) (pin 1))
+ (node (ref U1) (pin 1)))
+ (net (code 68) (name "Net-(R5-Pad2)")
(node (ref U3) (pin 11))
- (node (ref R9) (pin 1))
- (node (ref R8) (pin 2)))
- (net (code 96) (name FT813.GPIO1)
- (node (ref U209) (pin 3))
- (node (ref U13) (pin 10))
- (node (ref R211) (pin 1)))
- (net (code 97) (name FT813.GPIO0)
- (node (ref U13) (pin 9))
- (node (ref U208) (pin 7))
- (node (ref U208) (pin 3))
- (node (ref U207) (pin 3))
- (node (ref R210) (pin 2))
- (node (ref U206) (pin 1))
- (node (ref U206) (pin 4))
- (node (ref U206) (pin 10)))
- (net (code 98) (name FT813.GPIO3)
- (node (ref U205) (pin 4))
+ (node (ref R6) (pin 1))
+ (node (ref R5) (pin 2)))
+ (net (code 69) (name "Net-(J1-Pad3)")
+ (node (ref J1) (pin 3))
+ (node (ref U1) (pin 2)))
+ (net (code 70) (name "Net-(J1-Pad2)")
+ (node (ref J1) (pin 2))
+ (node (ref U1) (pin 3)))
+ (net (code 71) (name GND)
+ (node (ref U4) (pin 49))
+ (node (ref U4) (pin 21))
+ (node (ref U11) (pin 2))
+ (node (ref U11) (pin 3))
+ (node (ref C221) (pin 2))
+ (node (ref J3) (pin 1))
+ (node (ref U12) (pin 3))
+ (node (ref U12) (pin 11))
+ (node (ref U12) (pin 15))
+ (node (ref U12) (pin 17))
+ (node (ref C220) (pin 2))
+ (node (ref U13) (pin 3))
+ (node (ref U13) (pin 11))
(node (ref U13) (pin 15))
- (node (ref R208) (pin 2)))
- (net (code 99) (name GND)
- (node (ref U13) (pin 27))
- (node (ref U201) (pin 15))
- (node (ref U201) (pin 38))
- (node (ref U201) (pin 39))
- (node (ref C23) (pin 2))
- (node (ref U13) (pin 57))
- (node (ref C201) (pin 2))
- (node (ref C202) (pin 2))
- (node (ref C203) (pin 2))
- (node (ref U13) (pin 18))
- (node (ref U201) (pin 1))
- (node (ref Y2) (pin 3))
- (node (ref C38) (pin 2))
- (node (ref C39) (pin 2))
- (node (ref C205) (pin 2))
+ (node (ref U13) (pin 17))
+ (node (ref C222) (pin 2))
+ (node (ref U5) (pin 4))
+ (node (ref U5) (pin 9))
+ (node (ref X1) (pin 2))
+ (node (ref U1) (pin 17))
+ (node (ref U1) (pin 18))
+ (node (ref U1) (pin 25))
+ (node (ref U1) (pin 8))
+ (node (ref U1) (pin 9))
+ (node (ref U2) (pin 2))
+ (node (ref U2) (pin 6))
+ (node (ref U2) (pin 7))
+ (node (ref U3) (pin 2))
+ (node (ref U3) (pin 9))
+ (node (ref U3) (pin 10))
+ (node (ref R208) (pin 1))
+ (node (ref U6) (pin 13))
+ (node (ref U6) (pin 6))
+ (node (ref R207) (pin 1))
+ (node (ref U14) (pin 3))
+ (node (ref U15) (pin 2))
+ (node (ref C204) (pin 2))
+ (node (ref C213) (pin 2))
+ (node (ref C212) (pin 2))
+ (node (ref C210) (pin 2))
+ (node (ref C211) (pin 2))
+ (node (ref C209) (pin 2))
+ (node (ref C40) (pin 2))
(node (ref C206) (pin 2))
+ (node (ref R26) (pin 2))
+ (node (ref C205) (pin 2))
+ (node (ref R25) (pin 2))
+ (node (ref C438) (pin 2))
+ (node (ref U409) (pin 2))
+ (node (ref R29) (pin 2))
+ (node (ref R22) (pin 1))
+ (node (ref C435) (pin 2))
+ (node (ref C434) (pin 2))
+ (node (ref U407) (pin 7))
+ (node (ref C34) (pin 2))
+ (node (ref C35) (pin 2))
+ (node (ref U407) (pin 15))
+ (node (ref C33) (pin 2))
+ (node (ref U209) (pin 20))
+ (node (ref U209) (pin 19))
+ (node (ref U209) (pin 18))
+ (node (ref U209) (pin 17))
+ (node (ref U209) (pin 16))
+ (node (ref U209) (pin 15))
+ (node (ref U209) (pin 14))
+ (node (ref R19) (pin 1))
+ (node (ref C226) (pin 2))
+ (node (ref C9) (pin 2))
+ (node (ref J402) (pin 9))
+ (node (ref J402) (pin 6))
+ (node (ref J402) (pin 3))
+ (node (ref J402) (pin 24))
+ (node (ref J402) (pin 18))
+ (node (ref J402) (pin 15))
+ (node (ref J402) (pin 12))
+ (node (ref C11) (pin 2))
+ (node (ref C10) (pin 2))
+ (node (ref C440) (pin 2))
+ (node (ref U402) (pin 4))
+ (node (ref U408) (pin 7))
+ (node (ref U408) (pin 15))
+ (node (ref C6) (pin 2))
+ (node (ref C3) (pin 2))
+ (node (ref C2) (pin 2))
+ (node (ref C36) (pin 2))
+ (node (ref C37) (pin 2))
+ (node (ref C32) (pin 2))
+ (node (ref C31) (pin 2))
+ (node (ref C415) (pin 2))
+ (node (ref R6) (pin 2))
+ (node (ref C437) (pin 2))
+ (node (ref C436) (pin 2))
+ (node (ref C219) (pin 2))
+ (node (ref R4) (pin 2))
+ (node (ref C218) (pin 1))
+ (node (ref C29) (pin 2))
+ (node (ref JP201) (pin 1))
+ (node (ref J302) (pin 3))
+ (node (ref C7) (pin 2))
+ (node (ref C225) (pin 2))
+ (node (ref J302) (pin 9))
+ (node (ref C41) (pin 2))
(node (ref C207) (pin 2))
- (node (ref C15) (pin 2))
(node (ref C208) (pin 2))
- (node (ref U4) (pin 8))
- (node (ref C204) (pin 2))
- (node (ref U4) (pin 4))
- (node (ref C45) (pin 2))
+ (node (ref C403) (pin 2))
+ (node (ref C5) (pin 2))
+ (node (ref C227) (pin 2))
(node (ref C214) (pin 2))
- (node (ref C213) (pin 2))
- (node (ref J301) (pin 1))
- (node (ref C228) (pin 2))
- (node (ref C19) (pin 2))
- (node (ref JP202) (pin 1))
- (node (ref J301) (pin 71))
- (node (ref C56) (pin 2))
- (node (ref C323) (pin 2))
- (node (ref C55) (pin 2))
- (node (ref J301) (pin 55))
- (node (ref J301) (pin 37))
- (node (ref J301) (pin 87))
- (node (ref C324) (pin 2))
- (node (ref U205) (pin 8))
- (node (ref J301) (pin 19))
- (node (ref C215) (pin 1))
- (node (ref C329) (pin 2))
+ (node (ref C4) (pin 2))
+ (node (ref C217) (pin 2))
+ (node (ref C229) (pin 2))
(node (ref C216) (pin 2))
- (node (ref C21) (pin 2))
- (node (ref C40) (pin 2))
- (node (ref J301) (pin 141))
- (node (ref J301) (pin 123))
+ (node (ref C228) (pin 2))
+ (node (ref C215) (pin 2))
(node (ref C8) (pin 2))
+ (node (ref U401) (pin 2))
+ (node (ref U406) (pin 43))
+ (node (ref C203) (pin 2))
+ (node (ref C202) (pin 2))
+ (node (ref C201) (pin 2))
+ (node (ref R1) (pin 2))
+ (node (ref U207) (pin 4))
+ (node (ref C13) (pin 2))
+ (node (ref U208) (pin 7))
+ (node (ref U404) (pin 9))
+ (node (ref U404) (pin 4))
+ (node (ref U208) (pin 15))
+ (node (ref C12) (pin 2))
+ (node (ref U208) (pin 13))
+ (node (ref U208) (pin 12))
+ (node (ref U208) (pin 11))
+ (node (ref U202) (pin 7))
+ (node (ref U405) (pin 15))
+ (node (ref J301) (pin 1))
(node (ref J301) (pin 105))
- (node (ref J301) (pin 177))
- (node (ref J301) (pin 159))
- (node (ref C330) (pin 2))
- (node (ref C331) (pin 2))
- (node (ref C332) (pin 2))
- (node (ref C334) (pin 2))
- (node (ref C335) (pin 2))
- (node (ref C7) (pin 2))
- (node (ref C336) (pin 2))
- (node (ref C43) (pin 2))
- (node (ref U13) (pin 42))
- (node (ref C41) (pin 2))
- (node (ref C47) (pin 2))
- (node (ref C46) (pin 2))
- (node (ref C20) (pin 2))
- (node (ref C44) (pin 2))
- (node (ref C42) (pin 2))
- (node (ref J304) (pin 3))
- (node (ref U5) (pin 49))
- (node (ref C29) (pin 2))
- (node (ref R22) (pin 1))
- (node (ref R14) (pin 2))
- (node (ref U5) (pin 21))
- (node (ref J304) (pin 9))
- (node (ref C31) (pin 2))
- (node (ref C32) (pin 2))
- (node (ref C322) (pin 2))
- (node (ref C321) (pin 2))
- (node (ref C320) (pin 2))
- (node (ref C319) (pin 2))
- (node (ref C16) (pin 2))
- (node (ref U6) (pin 4))
- (node (ref C18) (pin 2))
- (node (ref C24) (pin 2))
- (node (ref U13) (pin 4))
- (node (ref C341) (pin 2))
- (node (ref C211) (pin 2))
- (node (ref C212) (pin 2))
- (node (ref R26) (pin 2))
- (node (ref C342) (pin 2))
- (node (ref C53) (pin 2))
- (node (ref C52) (pin 2))
- (node (ref R25) (pin 2))
- (node (ref R24) (pin 2))
- (node (ref C328) (pin 2))
- (node (ref C327) (pin 2))
- (node (ref C326) (pin 2))
- (node (ref C325) (pin 2))
+ (node (ref J301) (pin 123))
(node (ref U202) (pin 2))
- (node (ref C50) (pin 2))
- (node (ref U202) (pin 7))
- (node (ref C51) (pin 2))
- (node (ref C49) (pin 2))
- (node (ref C48) (pin 2))
+ (node (ref J301) (pin 141))
(node (ref U202) (pin 15))
- (node (ref U14) (pin 2))
- (node (ref U8) (pin 7))
- (node (ref C210) (pin 2))
- (node (ref C37) (pin 2))
- (node (ref C36) (pin 2))
- (node (ref R208) (pin 1))
- (node (ref U7) (pin 7))
- (node (ref U8) (pin 13))
- (node (ref U8) (pin 12))
- (node (ref R210) (pin 1))
- (node (ref U206) (pin 7))
- (node (ref U206) (pin 11))
- (node (ref U206) (pin 12))
- (node (ref U206) (pin 13))
- (node (ref U206) (pin 15))
- (node (ref U9) (pin 8))
- (node (ref C340) (pin 2))
- (node (ref C339) (pin 2))
- (node (ref C338) (pin 2))
- (node (ref C337) (pin 2))
- (node (ref C35) (pin 2))
- (node (ref U9) (pin 12))
- (node (ref C217) (pin 2))
- (node (ref U207) (pin 2))
- (node (ref U301) (pin 49))
- (node (ref C218) (pin 2))
- (node (ref U10) (pin 8))
- (node (ref U10) (pin 12))
- (node (ref U301) (pin 36))
- (node (ref J2) (pin 2))
- (node (ref C34) (pin 2))
- (node (ref U208) (pin 4))
- (node (ref SW2) (pin 2))
- (node (ref U302) (pin 43))
- (node (ref SW1) (pin 1))
- (node (ref J1) (pin 5))
- (node (ref C219) (pin 2))
+ (node (ref C21) (pin 2))
+ (node (ref J301) (pin 159))
+ (node (ref J301) (pin 177))
+ (node (ref J301) (pin 19))
+ (node (ref J301) (pin 37))
+ (node (ref U405) (pin 24))
+ (node (ref U206) (pin 2))
+ (node (ref U205) (pin 8))
+ (node (ref C27) (pin 2))
+ (node (ref U201) (pin 39))
+ (node (ref U201) (pin 38))
+ (node (ref U201) (pin 15))
+ (node (ref U405) (pin 49))
+ (node (ref J401) (pin 8))
(node (ref C22) (pin 2))
- (node (ref U12) (pin 17))
- (node (ref U12) (pin 15))
- (node (ref U12) (pin 11))
- (node (ref R211) (pin 2))
- (node (ref U303) (pin 43))
+ (node (ref C427) (pin 2))
+ (node (ref C428) (pin 2))
+ (node (ref C429) (pin 2))
+ (node (ref R16) (pin 2))
+ (node (ref C430) (pin 2))
+ (node (ref J401) (pin 1))
+ (node (ref C439) (pin 2))
+ (node (ref C431) (pin 2))
+ (node (ref C432) (pin 2))
+ (node (ref C433) (pin 2))
+ (node (ref U201) (pin 1))
+ (node (ref R417) (pin 2))
+ (node (ref J301) (pin 55))
+ (node (ref C223) (pin 2))
+ (node (ref J301) (pin 71))
+ (node (ref J301) (pin 87))
+ (node (ref C28) (pin 2))
+ (node (ref R15) (pin 2))
+ (node (ref U403) (pin 65))
+ (node (ref U403) (pin 48))
+ (node (ref U403) (pin 33))
+ (node (ref C18) (pin 2))
+ (node (ref U403) (pin 23))
(node (ref C318) (pin 2))
(node (ref C317) (pin 2))
(node (ref C316) (pin 2))
(node (ref C315) (pin 2))
- (node (ref C12) (pin 2))
(node (ref C314) (pin 2))
(node (ref C313) (pin 2))
- (node (ref U209) (pin 2))
- (node (ref U304) (pin 43))
- (node (ref C220) (pin 2))
(node (ref C312) (pin 2))
- (node (ref X1) (pin 2))
+ (node (ref J1) (pin 5))
+ (node (ref C420) (pin 2))
+ (node (ref C421) (pin 2))
+ (node (ref SW1) (pin 1))
+ (node (ref C422) (pin 2))
+ (node (ref C56) (pin 2))
+ (node (ref SW2) (pin 2))
+ (node (ref C55) (pin 2))
+ (node (ref C423) (pin 2))
+ (node (ref J2) (pin 2))
+ (node (ref C424) (pin 2))
+ (node (ref C425) (pin 2))
+ (node (ref U10) (pin 12))
(node (ref C311) (pin 2))
(node (ref C310) (pin 2))
- (node (ref C333) (pin 2))
- (node (ref C223) (pin 2))
- (node (ref C222) (pin 2))
- (node (ref C225) (pin 2))
- (node (ref C226) (pin 2))
- (node (ref C224) (pin 2))
(node (ref C309) (pin 2))
(node (ref C308) (pin 2))
(node (ref C307) (pin 2))
- (node (ref C13) (pin 2))
(node (ref C306) (pin 2))
- (node (ref U1) (pin 8))
- (node (ref U12) (pin 3))
(node (ref C305) (pin 2))
- (node (ref U1) (pin 9))
(node (ref C304) (pin 2))
- (node (ref C54) (pin 2))
(node (ref C303) (pin 2))
- (node (ref C33) (pin 2))
(node (ref C302) (pin 2))
- (node (ref R306) (pin 2))
- (node (ref U3) (pin 10))
- (node (ref C17) (pin 2))
- (node (ref SW201) (pin 2))
- (node (ref U3) (pin 9))
- (node (ref J205) (pin 6))
- (node (ref U11) (pin 3))
+ (node (ref C416) (pin 2))
+ (node (ref C417) (pin 2))
+ (node (ref C426) (pin 2))
+ (node (ref C20) (pin 2))
(node (ref C301) (pin 2))
- (node (ref J203) (pin 5))
- (node (ref BZ1) (pin 2))
- (node (ref U11) (pin 2))
- (node (ref U3) (pin 2))
- (node (ref J303) (pin 36))
- (node (ref J303) (pin 3))
- (node (ref J303) (pin 29))
- (node (ref U203) (pin 15))
- (node (ref U2) (pin 7))
- (node (ref R16) (pin 2))
- (node (ref U203) (pin 7))
- (node (ref R19) (pin 1))
- (node (ref U2) (pin 6))
- (node (ref U204) (pin 15))
- (node (ref U2) (pin 2))
- (node (ref U204) (pin 7))
- (node (ref J302) (pin 6))
- (node (ref J202) (pin 15))
- (node (ref J202) (pin 18))
- (node (ref J202) (pin 21))
- (node (ref J202) (pin 26))
- (node (ref J202) (pin 27))
- (node (ref J202) (pin 29))
- (node (ref R304) (pin 2))
- (node (ref J202) (pin 34))
- (node (ref J202) (pin 35))
- (node (ref J202) (pin 37))
- (node (ref J202) (pin 4))
- (node (ref J202) (pin 40))
- (node (ref J202) (pin 43))
+ (node (ref U10) (pin 8))
+ (node (ref SW201) (pin 2))
(node (ref C30) (pin 2))
- (node (ref J202) (pin 50))
(node (ref R18) (pin 1))
(node (ref R20) (pin 1))
- (node (ref J202) (pin 9))
+ (node (ref J205) (pin 6))
+ (node (ref J202) (pin 5))
(node (ref R21) (pin 1))
- (node (ref J206) (pin 5))
- (node (ref R9) (pin 2))
+ (node (ref U203) (pin 15))
+ (node (ref U203) (pin 7))
(node (ref C14) (pin 2))
- (node (ref C28) (pin 2))
- (node (ref C27) (pin 2))
- (node (ref C9) (pin 2))
- (node (ref C227) (pin 2))
- (node (ref C11) (pin 2))
- (node (ref C10) (pin 2))
+ (node (ref U204) (pin 15))
+ (node (ref U204) (pin 7))
+ (node (ref J201) (pin 15))
+ (node (ref J201) (pin 18))
+ (node (ref U9) (pin 12))
+ (node (ref U9) (pin 8))
+ (node (ref J201) (pin 21))
+ (node (ref J201) (pin 26))
+ (node (ref J201) (pin 27))
+ (node (ref J201) (pin 29))
+ (node (ref C408) (pin 2))
+ (node (ref J201) (pin 34))
+ (node (ref J201) (pin 35))
+ (node (ref J201) (pin 37))
+ (node (ref J201) (pin 4))
+ (node (ref J201) (pin 40))
+ (node (ref C401) (pin 2))
+ (node (ref C402) (pin 2))
+ (node (ref C405) (pin 2))
+ (node (ref C404) (pin 2))
+ (node (ref R403) (pin 2))
+ (node (ref R404) (pin 2))
+ (node (ref C406) (pin 2))
+ (node (ref C407) (pin 2))
+ (node (ref R405) (pin 2))
+ (node (ref C15) (pin 2))
+ (node (ref Y401) (pin 3))
+ (node (ref C409) (pin 2))
+ (node (ref C410) (pin 2))
+ (node (ref C414) (pin 2))
+ (node (ref C411) (pin 2))
+ (node (ref C413) (pin 2))
+ (node (ref U7) (pin 7))
+ (node (ref C412) (pin 2))
+ (node (ref C419) (pin 2))
+ (node (ref C418) (pin 2))
+ (node (ref U8) (pin 7))
+ (node (ref J201) (pin 43))
+ (node (ref J201) (pin 50))
+ (node (ref J201) (pin 9))
+ (node (ref C26) (pin 2))
+ (node (ref J204) (pin 5))
+ (node (ref C25) (pin 2))
+ (node (ref C19) (pin 2))
+ (node (ref C17) (pin 2))
+ (node (ref C224) (pin 2))
(node (ref Y1) (pin 3))
- (node (ref C209) (pin 2))
- (node (ref C6) (pin 2))
- (node (ref C3) (pin 2))
- (node (ref C2) (pin 2))
- (node (ref R4) (pin 2))
- (node (ref C5) (pin 2))
- (node (ref C4) (pin 2))
- (node (ref R1) (pin 2))
- (node (ref U1) (pin 18))
- (node (ref U1) (pin 17))
- (node (ref U1) (pin 25)))
- (net (code 100) (name "Net-(J3-Pad6)")
+ (node (ref C16) (pin 2)))
+ (net (code 72) (name "Net-(C5-Pad1)")
+ (node (ref U1) (pin 22))
+ (node (ref C5) (pin 1))
+ (node (ref R2) (pin 1)))
+ (net (code 73) (name TMUX.I2C0.SCL)
+ (node (ref U205) (pin 2))
+ (node (ref U201) (pin 10))
+ (node (ref U207) (pin 2))
+ (node (ref U1) (pin 5))
+ (node (ref U6) (pin 3))
(node (ref R13) (pin 2))
- (node (ref J3) (pin 6)))
- (net (code 101) (name "Net-(U10-Pad14)")
- (node (ref U9) (pin 9))
- (node (ref U10) (pin 14)))
- (net (code 102) (name "Net-(U7-Pad3)")
+ (node (ref U209) (pin 2)))
+ (net (code 74) (name TMUX.I2C0.SDA)
+ (node (ref U207) (pin 5))
+ (node (ref U1) (pin 6))
+ (node (ref U209) (pin 4))
+ (node (ref R14) (pin 2))
+ (node (ref U205) (pin 3))
+ (node (ref U6) (pin 9))
+ (node (ref U201) (pin 11)))
+ (net (code 75) (name "Net-(U10-Pad14)")
+ (node (ref U10) (pin 14))
+ (node (ref U9) (pin 9)))
+ (net (code 76) (name "Net-(U7-Pad3)")
(node (ref U7) (pin 4))
(node (ref U7) (pin 3)))
- (net (code 103) (name "Net-(U10-Pad11)")
- (node (ref U7) (pin 6))
+ (net (code 77) (name "Net-(U10-Pad11)")
+ (node (ref U10) (pin 11))
(node (ref U9) (pin 11))
- (node (ref U10) (pin 11)))
- (net (code 104) (name "Net-(U7-Pad12)")
+ (node (ref U7) (pin 6)))
+ (net (code 78) (name "Net-(U7-Pad12)")
(node (ref U7) (pin 12))
(node (ref U7) (pin 8)))
- (net (code 105) (name FT813_R0)
- (node (ref U13) (pin 2))
- (node (ref U302) (pin 26)))
- (net (code 106) (name FT813_R1)
- (node (ref U13) (pin 1))
- (node (ref U302) (pin 24)))
- (net (code 107) (name FT813_R2)
- (node (ref U13) (pin 56))
- (node (ref U302) (pin 22)))
- (net (code 108) (name FT813_R3)
- (node (ref U302) (pin 20))
- (node (ref U13) (pin 55)))
- (net (code 109) (name FT813_R4)
- (node (ref U13) (pin 54))
- (node (ref U302) (pin 18)))
- (net (code 110) (name FT813_R5)
- (node (ref U13) (pin 53))
- (node (ref U303) (pin 42)))
- (net (code 111) (name FT813_R6)
- (node (ref U303) (pin 40))
- (node (ref U13) (pin 52)))
- (net (code 112) (name FT813_R7)
- (node (ref U303) (pin 37))
- (node (ref U13) (pin 51)))
- (net (code 113) (name FT813_G0)
- (node (ref U13) (pin 50))
- (node (ref U303) (pin 35)))
- (net (code 114) (name FT813_G1)
- (node (ref U13) (pin 49))
- (node (ref U303) (pin 33)))
- (net (code 115) (name FT813_G2)
- (node (ref U303) (pin 31))
- (node (ref U13) (pin 48)))
- (net (code 116) (name FT813_G3)
- (node (ref U303) (pin 28))
- (node (ref U13) (pin 47)))
- (net (code 117) (name FT813_G4)
- (node (ref U303) (pin 26))
- (node (ref U13) (pin 46)))
- (net (code 118) (name FT813_G5)
- (node (ref U303) (pin 24))
- (node (ref U13) (pin 45)))
- (net (code 119) (name FT813_G6)
- (node (ref U303) (pin 22))
- (node (ref U13) (pin 44)))
- (net (code 120) (name FT813_G7)
- (node (ref U303) (pin 20))
- (node (ref U13) (pin 43)))
- (net (code 121) (name FT813_B0)
- (node (ref U13) (pin 41))
- (node (ref U303) (pin 18)))
- (net (code 122) (name FT813_B1)
- (node (ref U304) (pin 42))
- (node (ref U13) (pin 40)))
- (net (code 123) (name FT813_B2)
- (node (ref U304) (pin 40))
- (node (ref U13) (pin 39)))
- (net (code 124) (name FT813_B3)
- (node (ref U13) (pin 38))
- (node (ref U304) (pin 37)))
- (net (code 125) (name FT813_B4)
- (node (ref U304) (pin 35))
- (node (ref U13) (pin 37)))
- (net (code 126) (name FT813_B5)
- (node (ref U13) (pin 36))
- (node (ref U304) (pin 33)))
- (net (code 127) (name FT813_B6)
- (node (ref U13) (pin 35))
- (node (ref U304) (pin 31)))
- (net (code 128) (name FT813_B7)
- (node (ref U304) (pin 28))
- (node (ref U13) (pin 34)))
- (net (code 129) (name FT813_PCLK)
- (node (ref U13) (pin 33))
- (node (ref U304) (pin 26)))
- (net (code 130) (name FT813_DISP)
- (node (ref U304) (pin 24))
- (node (ref U13) (pin 32)))
- (net (code 131) (name FT813_HSYNC)
- (node (ref U304) (pin 22))
- (node (ref U13) (pin 31)))
- (net (code 132) (name FT813_VSYNC)
- (node (ref U304) (pin 20))
- (node (ref U13) (pin 30)))
- (net (code 133) (name FT813_DE)
- (node (ref U304) (pin 18))
- (node (ref U13) (pin 29)))
- (net (code 134) (name FT813_CTP_RST)
- (node (ref U13) (pin 23))
- (node (ref U302) (pin 37)))
- (net (code 135) (name FT813_CTP_SCL)
- (node (ref U13) (pin 25))
- (node (ref U302) (pin 33)))
- (net (code 136) (name FT813_CTP_SDA)
- (node (ref U302) (pin 31))
- (node (ref U13) (pin 26)))
- (net (code 137) (name FT813_CTP_INT)
- (node (ref U13) (pin 24))
- (node (ref U302) (pin 35)))
- (net (code 138) (name /QSPI_DQ_3)
- (node (ref U5) (pin 1))
- (node (ref U6) (pin 7))
- (node (ref R36) (pin 2)))
- (net (code 139) (name /QSPI_CS)
- (node (ref U5) (pin 5))
- (node (ref R35) (pin 2))
- (node (ref U6) (pin 1)))
- (net (code 140) (name BL_PWM)
- (node (ref U14) (pin 4))
- (node (ref U302) (pin 11))
- (node (ref R23) (pin 2)))
- (net (code 141) (name FT813_BL_PWM)
- (node (ref U302) (pin 28))
- (node (ref U13) (pin 28)))
- (net (code 142) (name /XTAL_XI)
- (node (ref U5) (pin 9))
- (node (ref C27) (pin 1))
+ (net (code 79) (name PWM2.1)
+ (node (ref U8) (pin 1))
+ (node (ref U12) (pin 14))
+ (node (ref U13) (pin 14))
+ (node (ref U4) (pin 35))
+ (node (ref R20) (pin 2)))
+ (net (code 80) (name "Net-(U8-Pad2)")
+ (node (ref U8) (pin 2))
+ (node (ref U8) (pin 6)))
+ (net (code 81) (name /XTAL_XI)
+ (node (ref C25) (pin 1))
+ (node (ref U4) (pin 9))
(node (ref Y1) (pin 1)))
- (net (code 143) (name /XTAL_XO)
- (node (ref U5) (pin 10))
+ (net (code 82) (name /XTAL_XO)
(node (ref Y1) (pin 2))
- (node (ref C28) (pin 1)))
- (net (code 144) (name /PLL_AVSS)
- (node (ref C26) (pin 2))
- (node (ref C25) (pin 2))
- (node (ref U5) (pin 8)))
- (net (code 145) (name /PLL_AVDD)
(node (ref C26) (pin 1))
- (node (ref C25) (pin 1))
- (node (ref U5) (pin 7))
- (node (ref R12) (pin 2)))
- (net (code 146) (name /QSPI_CLK)
- (node (ref U6) (pin 6))
- (node (ref U5) (pin 48)))
- (net (code 147) (name /QSPI_DQ_0)
- (node (ref U5) (pin 4))
- (node (ref U6) (pin 5)))
- (net (code 148) (name /QSPI_DQ_1)
- (node (ref U5) (pin 3))
- (node (ref U6) (pin 2)))
- (net (code 149) (name /QSPI_DQ_2)
- (node (ref U6) (pin 3))
- (node (ref U5) (pin 2)))
- (net (code 150) (name "Net-(C55-Pad1)")
- (node (ref C55) (pin 1))
+ (node (ref U4) (pin 10)))
+ (net (code 83) (name /PLL_AVSS)
+ (node (ref C23) (pin 2))
+ (node (ref U4) (pin 8))
+ (node (ref C24) (pin 2)))
+ (net (code 84) (name /PLL_AVDD)
+ (node (ref U4) (pin 7))
+ (node (ref R12) (pin 2))
+ (node (ref C24) (pin 1))
+ (node (ref C23) (pin 1)))
+ (net (code 85) (name /QSPI_CLK)
+ (node (ref U5) (pin 6))
+ (node (ref U4) (pin 48)))
+ (net (code 86) (name /QSPI_DQ_0)
+ (node (ref U5) (pin 5))
+ (node (ref U4) (pin 4)))
+ (net (code 87) (name /QSPI_DQ_1)
+ (node (ref U5) (pin 2))
+ (node (ref U4) (pin 3)))
+ (net (code 88) (name /QSPI_DQ_2)
+ (node (ref U4) (pin 2))
+ (node (ref U5) (pin 3)))
+ (net (code 89) (name /QSPI_CS)
+ (node (ref U4) (pin 5))
+ (node (ref R8) (pin 2))
+ (node (ref U5) (pin 1)))
+ (net (code 90) (name /QSPI_DQ_3)
+ (node (ref U4) (pin 1))
+ (node (ref R9) (pin 2))
+ (node (ref U5) (pin 7)))
+ (net (code 91) (name "Net-(C55-Pad1)")
(node (ref C56) (pin 1))
+ (node (ref C55) (pin 1))
(node (ref U1) (pin 23)))
- (net (code 151) (name "Net-(U201-Pad20)")
+ (net (code 92) (name DISP_CS)
+ (node (ref U8) (pin 3))
+ (node (ref J402) (pin 22)))
+ (net (code 93) (name ESP32.IO39)
+ (node (ref U1) (pin 7))
+ (node (ref R7) (pin 2))
+ (node (ref U201) (pin 5)))
+ (net (code 94) (name /SPK_GAIN_SEL)
+ (node (ref U15) (pin 6))
+ (node (ref U8) (pin 8)))
+ (net (code 95) (name "Net-(R24-Pad1)")
+ (node (ref U13) (pin 4))
+ (node (ref R24) (pin 1)))
+ (net (code 96) (name "Net-(R23-Pad1)")
+ (node (ref U12) (pin 4))
+ (node (ref R23) (pin 1)))
+ (net (code 97) (name /SPK_R+)
+ (node (ref J3) (pin 7))
+ (node (ref LS2) (pin 1)))
+ (net (code 98) (name "Net-(C39-Pad1)")
+ (node (ref J3) (pin 2))
+ (node (ref R26) (pin 1))
+ (node (ref C39) (pin 1)))
+ (net (code 99) (name "Net-(C38-Pad1)")
+ (node (ref R25) (pin 1))
+ (node (ref C38) (pin 1))
+ (node (ref J3) (pin 3)))
+ (net (code 100) (name "Net-(C39-Pad2)")
+ (node (ref J3) (pin 6))
+ (node (ref C39) (pin 2)))
+ (net (code 101) (name "Net-(C38-Pad2)")
+ (node (ref J3) (pin 9))
+ (node (ref C38) (pin 2)))
+ (net (code 102) (name TMUX.GPIO1)
+ (node (ref R16) (pin 1))
+ (node (ref U6) (pin 12)))
+ (net (code 103) (name TMUX.GPIO0)
+ (node (ref U6) (pin 16))
+ (node (ref R15) (pin 1)))
+ (net (code 104) (name BT81X.GPIO2)
+ (node (ref U8) (pin 10))
+ (node (ref U403) (pin 10)))
+ (net (code 105) (name "Net-(R29-Pad1)")
+ (node (ref R29) (pin 1))
+ (node (ref U15) (pin 3)))
+ (net (code 106) (name "Net-(R28-Pad2)")
+ (node (ref U15) (pin 1))
+ (node (ref R28) (pin 2)))
+ (net (code 107) (name /HP_DET)
+ (node (ref R27) (pin 1))
+ (node (ref U14) (pin 2))
+ (node (ref J3) (pin 10))
+ (node (ref J3) (pin 11)))
+ (net (code 108) (name /SPK_L-)
+ (node (ref LS1) (pin 2))
+ (node (ref U12) (pin 10)))
+ (net (code 109) (name /SPK_R-)
+ (node (ref LS2) (pin 2))
+ (node (ref U13) (pin 10)))
+ (net (code 110) (name "Net-(X1-Pad1)")
+ (node (ref X1) (pin 1)))
+ (net (code 111) (name "Net-(U14-Pad1)")
+ (node (ref U14) (pin 1)))
+ (net (code 112) (name "Net-(U14-Pad5)")
+ (node (ref U14) (pin 5)))
+ (net (code 113) (name /SPK_L_HP+)
+ (node (ref U12) (pin 9))
+ (node (ref J3) (pin 5)))
+ (net (code 114) (name /SPK_R_HP_+)
+ (node (ref U13) (pin 9))
+ (node (ref J3) (pin 8)))
+ (net (code 115) (name /HP_SWITCH)
+ (node (ref U14) (pin 4))
+ (node (ref U8) (pin 9)))
+ (net (code 116) (name iMX8.GPIO3.IO13)
+ (node (ref R412) (pin 2))
+ (node (ref U409) (pin 3))
+ (node (ref J301) (pin 149)))
+ (net (code 117) (name +VCTP)
+ (node (ref JP401) (pin 2))
+ (node (ref U408) (pin 14))
+ (node (ref R413) (pin 1))
+ (node (ref C435) (pin 1))
+ (node (ref C437) (pin 1))
+ (node (ref U407) (pin 1)))
+ (net (code 118) (name /disp/iMX8_CTP_EN)
+ (node (ref U409) (pin 4))
+ (node (ref U409) (pin 6))
+ (node (ref R413) (pin 2))
+ (node (ref U407) (pin 8)))
+ (net (code 119) (name /disp/CTP_INT)
+ (node (ref J401) (pin 6))
+ (node (ref U408) (pin 11))
+ (node (ref R414) (pin 2))
+ (node (ref U407) (pin 4)))
+ (net (code 120) (name /disp/CTP_RST)
+ (node (ref J401) (pin 7))
+ (node (ref U408) (pin 10))
+ (node (ref U407) (pin 5))
+ (node (ref R417) (pin 1)))
+ (net (code 121) (name /disp/CTP_SDA)
+ (node (ref R415) (pin 2))
+ (node (ref J401) (pin 5))
+ (node (ref U407) (pin 3))
+ (node (ref U408) (pin 12)))
+ (net (code 122) (name /disp/CTP_SCL)
+ (node (ref R416) (pin 2))
+ (node (ref J401) (pin 4))
+ (node (ref U407) (pin 2))
+ (node (ref U408) (pin 13)))
+ (net (code 123) (name BT81X.DISP)
+ (node (ref U409) (pin 1))
+ (node (ref U408) (pin 8))
+ (node (ref U405) (pin 37))
+ (node (ref U406) (pin 12))
+ (node (ref U403) (pin 38)))
+ (net (code 124) (name iMX8.GPIO3.IO11)
+ (node (ref U407) (pin 10))
+ (node (ref J301) (pin 153)))
+ (net (code 125) (name iMX8.GPIO3.IO12)
+ (node (ref U407) (pin 11))
+ (node (ref J301) (pin 151)))
+ (net (code 126) (name iMX8.I2C1.SDA)
+ (node (ref J301) (pin 135))
+ (node (ref U407) (pin 12)))
+ (net (code 127) (name iMX8.I2C1.SCL)
+ (node (ref J301) (pin 129))
+ (node (ref U407) (pin 13)))
+ (net (code 128) (name "Net-(U407-Pad9)")
+ (node (ref U407) (pin 9)))
+ (net (code 129) (name "Net-(U407-Pad6)")
+ (node (ref U407) (pin 6)))
+ (net (code 130) (name /disp/DISP_LVDS_CLK_P)
+ (node (ref U406) (pin 5))
+ (node (ref J402) (pin 14)))
+ (net (code 131) (name /disp/DISP_LVDS_CLK_N)
+ (node (ref J402) (pin 13))
+ (node (ref U406) (pin 6)))
+ (net (code 132) (name /disp/DISP_LVDS_A3P)
+ (node (ref U406) (pin 2))
+ (node (ref J402) (pin 17)))
+ (net (code 133) (name /disp/DISP_LVDS_A3N)
+ (node (ref U406) (pin 3))
+ (node (ref J402) (pin 16)))
+ (net (code 134) (name /disp/DISP_LVDS_A2P)
+ (node (ref J402) (pin 11))
+ (node (ref U406) (pin 8)))
+ (net (code 135) (name /disp/DISP_LVDS_A2N)
+ (node (ref J402) (pin 10))
+ (node (ref U406) (pin 9)))
+ (net (code 136) (name /disp/DISP_LVDS_A1P)
+ (node (ref U406) (pin 10))
+ (node (ref J402) (pin 8)))
+ (net (code 137) (name /disp/DISP_LVDS_A1N)
+ (node (ref U406) (pin 11))
+ (node (ref J402) (pin 7)))
+ (net (code 138) (name /disp/DISP_LVDS_A0P)
+ (node (ref U406) (pin 14))
+ (node (ref J402) (pin 5)))
+ (net (code 139) (name /disp/DISP_LVDS_A0N)
+ (node (ref J402) (pin 4))
+ (node (ref U406) (pin 15)))
+ (net (code 140) (name DISP_LED_A)
+ (node (ref D402) (pin 1))
+ (node (ref J402) (pin 26))
+ (node (ref C402) (pin 1))
+ (node (ref D401) (pin 1))
+ (node (ref C401) (pin 1)))
+ (net (code 141) (name DISP_LED_K)
+ (node (ref D402) (pin 2))
+ (node (ref R405) (pin 1))
+ (node (ref C407) (pin 1))
+ (node (ref C406) (pin 1))
+ (node (ref R404) (pin 1))
+ (node (ref J402) (pin 25))
+ (node (ref U401) (pin 3))
+ (node (ref R403) (pin 1)))
+ (net (code 142) (name BT81X.GPIO1)
+ (node (ref U403) (pin 8))
+ (node (ref J402) (pin 23)))
+ (net (code 143) (name /disp/DS90_LVDS_CLK_P)
+ (node (ref U406) (pin 33))
+ (node (ref U405) (pin 29)))
+ (net (code 144) (name /disp/DS90_LVDS_CLK_N)
+ (node (ref U406) (pin 32))
+ (node (ref U405) (pin 30)))
+ (net (code 145) (name /disp/DS90_LVDS_A3_P)
+ (node (ref U406) (pin 37))
+ (node (ref U405) (pin 27)))
+ (net (code 146) (name /disp/DS90_LVDS_A3_N)
+ (node (ref U405) (pin 28))
+ (node (ref U406) (pin 36)))
+ (net (code 147) (name /disp/DS90_LVDS_A2_P)
+ (node (ref U405) (pin 31))
+ (node (ref U406) (pin 28)))
+ (net (code 148) (name /disp/DS90_LVDS_A2_N)
+ (node (ref U405) (pin 32))
+ (node (ref U406) (pin 27)))
+ (net (code 149) (name /disp/DS90_LVDS_A1_P)
+ (node (ref U405) (pin 33))
+ (node (ref U406) (pin 24)))
+ (net (code 150) (name /disp/DS90_LVDS_A1_N)
+ (node (ref U405) (pin 34))
+ (node (ref U406) (pin 23)))
+ (net (code 151) (name /disp/DS90_LVDS_A0_P)
+ (node (ref U406) (pin 20))
+ (node (ref U405) (pin 35)))
+ (net (code 152) (name /disp/DS90_LVDS_A0_N)
+ (node (ref U406) (pin 21))
+ (node (ref U405) (pin 36)))
+ (net (code 153) (name "Net-(R401-Pad2)")
+ (node (ref R401) (pin 2))
+ (node (ref U402) (pin 7))
+ (node (ref U402) (pin 6))
+ (node (ref U402) (pin 5)))
+ (net (code 154) (name BT81X.BL_PWM)
+ (node (ref U402) (pin 3))
+ (node (ref U403) (pin 34)))
+ (net (code 155) (name iMX8.PWM1)
+ (node (ref J301) (pin 75))
+ (node (ref U402) (pin 1)))
+ (net (code 156) (name "Net-(U408-Pad9)")
+ (node (ref U408) (pin 9)))
+ (net (code 157) (name "Net-(U408-Pad6)")
+ (node (ref U408) (pin 6)))
+ (net (code 158) (name BT81X.CTP.RST)
+ (node (ref U408) (pin 5))
+ (node (ref U403) (pin 29)))
+ (net (code 159) (name BT81X.CTP.INT)
+ (node (ref U403) (pin 30))
+ (node (ref U408) (pin 4)))
+ (net (code 160) (name BT81X.CTP.SDA)
+ (node (ref U408) (pin 3))
+ (node (ref U403) (pin 32)))
+ (net (code 161) (name BT81X.CTP.SCL)
+ (node (ref U403) (pin 31))
+ (node (ref U408) (pin 2)))
+ (net (code 162) (name "Net-(R402-Pad2)")
+ (node (ref U401) (pin 4))
+ (node (ref U402) (pin 2))
+ (node (ref R402) (pin 2)))
+ (net (code 163) (name "Net-(D401-Pad2)")
+ (node (ref D401) (pin 2))
+ (node (ref U401) (pin 1))
+ (node (ref L401) (pin 2)))
+ (net (code 164) (name "Net-(U406-Pad42)")
+ (node (ref U406) (pin 42)))
+ (net (code 165) (name "Net-(U406-Pad41)")
+ (node (ref U406) (pin 41)))
+ (net (code 166) (name iMX8.LVDS0.A3_P)
+ (node (ref J301) (pin 57))
+ (node (ref U406) (pin 39)))
+ (net (code 167) (name iMX8.LVDS0.A3_N)
+ (node (ref J301) (pin 59))
+ (node (ref U406) (pin 38)))
+ (net (code 168) (name iMX8.LVDS0.CLK_P)
+ (node (ref U406) (pin 35))
+ (node (ref J301) (pin 33)))
+ (net (code 169) (name iMX8.LVDS0.CLK_N)
+ (node (ref U406) (pin 34))
+ (node (ref J301) (pin 35)))
+ (net (code 170) (name iMX8.LVDS0.A2_P)
+ (node (ref U406) (pin 30))
+ (node (ref J301) (pin 51)))
+ (net (code 171) (name iMX8.LVDS0.A2_N)
+ (node (ref U406) (pin 29))
+ (node (ref J301) (pin 53)))
+ (net (code 172) (name iMX8.LVDS0.A1_P)
+ (node (ref J301) (pin 45))
+ (node (ref U406) (pin 26)))
+ (net (code 173) (name iMX8.LVDS0.A1_N)
+ (node (ref U406) (pin 25))
+ (node (ref J301) (pin 47)))
+ (net (code 174) (name "Net-(U406-Pad19)")
+ (node (ref U406) (pin 19)))
+ (net (code 175) (name iMX8.LVDS0.A0_N)
+ (node (ref U406) (pin 18))
+ (node (ref J301) (pin 41)))
+ (net (code 176) (name "Net-(U406-Pad17)")
+ (node (ref U406) (pin 17)))
+ (net (code 177) (name iMX8.LVDS0.A0_P)
+ (node (ref U406) (pin 16))
+ (node (ref J301) (pin 39)))
+ (net (code 178) (name /disp/BT81X_QSPI_DQ_3)
+ (node (ref U403) (pin 20))
+ (node (ref U404) (pin 7))
+ (node (ref R410) (pin 2)))
+ (net (code 179) (name /disp/BT81X_QSPI_CS)
+ (node (ref U404) (pin 1))
+ (node (ref R409) (pin 2))
+ (node (ref U403) (pin 15)))
+ (net (code 180) (name BT81X.PCLK)
+ (node (ref U405) (pin 6))
+ (node (ref U403) (pin 39)))
+ (net (code 181) (name BT81X.DE)
+ (node (ref U405) (pin 14))
+ (node (ref U403) (pin 35)))
+ (net (code 182) (name BT81X.VSYNC)
+ (node (ref U403) (pin 36))
+ (node (ref U405) (pin 12)))
+ (net (code 183) (name BT81X.HSYNC)
+ (node (ref U403) (pin 37))
+ (node (ref U405) (pin 11)))
+ (net (code 184) (name BT81X.B7)
+ (node (ref U405) (pin 21))
+ (node (ref U403) (pin 40)))
+ (net (code 185) (name BT81X.B6)
+ (node (ref U405) (pin 20))
+ (node (ref U403) (pin 41)))
+ (net (code 186) (name BT81X.B5)
+ (node (ref U405) (pin 10))
+ (node (ref U403) (pin 42)))
+ (net (code 187) (name BT81X.B4)
+ (node (ref U405) (pin 9))
+ (node (ref U403) (pin 43)))
+ (net (code 188) (name BT81X.B3)
+ (node (ref U405) (pin 8))
+ (node (ref U403) (pin 44)))
+ (net (code 189) (name BT81X.B2)
+ (node (ref U403) (pin 45))
+ (node (ref U405) (pin 7)))
+ (net (code 190) (name BT81X.B1)
+ (node (ref U405) (pin 5))
+ (node (ref U403) (pin 46)))
+ (net (code 191) (name BT81X.B0)
+ (node (ref U403) (pin 47))
+ (node (ref U405) (pin 4)))
+ (net (code 192) (name BT81X.G7)
+ (node (ref U405) (pin 19))
+ (node (ref U403) (pin 49)))
+ (net (code 193) (name BT81X.G6)
+ (node (ref U403) (pin 50))
+ (node (ref U405) (pin 18)))
+ (net (code 194) (name BT81X.G5)
+ (node (ref U403) (pin 51))
+ (node (ref U405) (pin 3)))
+ (net (code 195) (name BT81X.G4)
+ (node (ref U403) (pin 52))
+ (node (ref U405) (pin 2)))
+ (net (code 196) (name BT81X.G3)
+ (node (ref U405) (pin 1))
+ (node (ref U403) (pin 53)))
+ (net (code 197) (name BT81X.G2)
+ (node (ref U405) (pin 47))
+ (node (ref U403) (pin 54)))
+ (net (code 198) (name BT81X.G1)
+ (node (ref U405) (pin 46))
+ (node (ref U403) (pin 55)))
+ (net (code 199) (name BT81X.G0)
+ (node (ref U403) (pin 56))
+ (node (ref U405) (pin 45)))
+ (net (code 200) (name BT81X.R7)
+ (node (ref U405) (pin 17))
+ (node (ref U403) (pin 58)))
+ (net (code 201) (name BT81X.R6)
+ (node (ref U405) (pin 16))
+ (node (ref U403) (pin 59)))
+ (net (code 202) (name BT81X.R5)
+ (node (ref U403) (pin 60))
+ (node (ref U405) (pin 44)))
+ (net (code 203) (name BT81X.R4)
+ (node (ref U405) (pin 43))
+ (node (ref U403) (pin 61)))
+ (net (code 204) (name BT81X.R3)
+ (node (ref U405) (pin 42))
+ (node (ref U403) (pin 62)))
+ (net (code 205) (name BT81X.R2)
+ (node (ref U405) (pin 41))
+ (node (ref U403) (pin 63)))
+ (net (code 206) (name BT81X.R1)
+ (node (ref U403) (pin 64))
+ (node (ref U405) (pin 40)))
+ (net (code 207) (name BT81X.R0)
+ (node (ref U403) (pin 1))
+ (node (ref U405) (pin 38)))
+ (net (code 208) (name "Net-(U405-Pad22)")
+ (node (ref U405) (pin 22)))
+ (net (code 209) (name "Net-(J401-Pad2)")
+ (node (ref J401) (pin 2)))
+ (net (code 210) (name BT81X.GPIO0)
+ (node (ref R208) (pin 2))
+ (node (ref U208) (pin 1))
+ (node (ref U403) (pin 7))
+ (node (ref U207) (pin 3))
+ (node (ref U208) (pin 10))
+ (node (ref U207) (pin 7))
+ (node (ref U206) (pin 3))
+ (node (ref U208) (pin 4)))
+ (net (code 211) (name "Net-(U403-Pad26)")
+ (node (ref U403) (pin 26)))
+ (net (code 212) (name /disp/BT81X_QSPI_DQ_2)
+ (node (ref U403) (pin 19))
+ (node (ref U404) (pin 3))
+ (node (ref R411) (pin 2)))
+ (net (code 213) (name /disp/BT81X_QSPI_DQ_1)
+ (node (ref U404) (pin 2))
+ (node (ref U403) (pin 18)))
+ (net (code 214) (name /disp/BT81X_QSPI_DQ_0)
+ (node (ref U404) (pin 5))
+ (node (ref U403) (pin 16)))
+ (net (code 215) (name /disp/BT81X_QSPI_CLK)
+ (node (ref U404) (pin 6))
+ (node (ref U403) (pin 14)))
+ (net (code 216) (name BT81X.GPIO3)
+ (node (ref R207) (pin 2))
+ (node (ref U403) (pin 13))
+ (node (ref U205) (pin 4)))
+ (net (code 217) (name "Net-(C408-Pad1)")
+ (node (ref U403) (pin 12))
+ (node (ref R406) (pin 2))
+ (node (ref C408) (pin 1)))
+ (net (code 218) (name /disp/BT81X_X2)
+ (node (ref U403) (pin 22))
+ (node (ref C410) (pin 1))
+ (node (ref Y401) (pin 2)))
+ (net (code 219) (name /disp/BT81X_X1)
+ (node (ref U403) (pin 21))
+ (node (ref C409) (pin 1))
+ (node (ref Y401) (pin 1)))
+ (net (code 220) (name /disp/BT81X_1V2)
+ (node (ref U403) (pin 2))
+ (node (ref C416) (pin 1))
+ (node (ref C417) (pin 1))
+ (node (ref C415) (pin 1))
+ (node (ref U403) (pin 25))
+ (node (ref C419) (pin 1))
+ (node (ref C418) (pin 1))
+ (node (ref U403) (pin 57)))
+ (net (code 221) (name "Net-(U405-Pad39)")
+ (node (ref U405) (pin 39)))
+ (net (code 222) (name "Net-(U405-Pad26)")
+ (node (ref U405) (pin 26)))
+ (net (code 223) (name "Net-(U405-Pad23)")
+ (node (ref U405) (pin 23)))
+ (net (code 224) (name "Net-(J201-Pad3)")
+ (node (ref J201) (pin 3)))
+ (net (code 225) (name "Net-(U201-Pad19)")
+ (node (ref U201) (pin 19)))
+ (net (code 226) (name "Net-(U201-Pad20)")
(node (ref U201) (pin 20)))
- (net (code 152) (name "Net-(U201-Pad21)")
+ (net (code 227) (name "Net-(U201-Pad21)")
(node (ref U201) (pin 21)))
- (net (code 153) (name "Net-(U201-Pad22)")
+ (net (code 228) (name "Net-(U201-Pad22)")
(node (ref U201) (pin 22)))
- (net (code 154) (name "Net-(U201-Pad32)")
- (node (ref U201) (pin 32)))
- (net (code 155) (name ESP32.HSPI.SS0)
- (node (ref J301) (pin 17))
- (node (ref U201) (pin 23)))
- (net (code 156) (name /periph/ESP32.PCM.DO)
- (node (ref U201) (pin 24))
- (node (ref U203) (pin 11)))
- (net (code 157) (name ESP32.HSPI.MOSI)
- (node (ref U201) (pin 16))
- (node (ref J301) (pin 11)))
- (net (code 158) (name /periph/ESP32.PCM.SYNC)
- (node (ref U201) (pin 26))
- (node (ref U203) (pin 10)))
- (net (code 159) (name "Net-(U201-Pad17)")
- (node (ref U201) (pin 17)))
- (net (code 160) (name /periph/ESP32.U2.TXD)
- (node (ref U204) (pin 10))
- (node (ref U201) (pin 27))
- (node (ref JP206) (pin 1)))
- (net (code 161) (name "Net-(U201-Pad18)")
- (node (ref U201) (pin 18)))
- (net (code 162) (name /periph/ESP32.U2.RXD)
- (node (ref U204) (pin 11))
- (node (ref JP205) (pin 1))
- (node (ref U201) (pin 28)))
- (net (code 163) (name "Net-(U201-Pad19)")
- (node (ref U201) (pin 19)))
- (net (code 164) (name "Net-(J205-Pad8)")
+ (net (code 229) (name "Net-(J205-Pad8)")
(node (ref J205) (pin 8)))
- (net (code 165) (name "Net-(J205-Pad9)")
+ (net (code 230) (name "Net-(J205-Pad9)")
(node (ref J205) (pin 9)))
- (net (code 166) (name "Net-(J202-Pad1)")
- (node (ref J202) (pin 1)))
- (net (code 167) (name "Net-(J202-Pad3)")
- (node (ref J202) (pin 3)))
- (net (code 168) (name "Net-(J202-Pad5)")
- (node (ref J202) (pin 5)))
- (net (code 169) (name "Net-(J202-Pad7)")
- (node (ref J202) (pin 7)))
- (net (code 170) (name "Net-(J202-Pad23)")
- (node (ref J202) (pin 23)))
- (net (code 171) (name "Net-(J202-Pad25)")
- (node (ref J202) (pin 25)))
- (net (code 172) (name "Net-(J202-Pad33)")
- (node (ref J202) (pin 33)))
- (net (code 173) (name "Net-(J202-Pad48)")
- (node (ref J202) (pin 48)))
- (net (code 174) (name "Net-(J202-Pad42)")
- (node (ref J202) (pin 42)))
- (net (code 175) (name "Net-(J202-Pad32)")
- (node (ref J202) (pin 32)))
- (net (code 176) (name "Net-(J202-Pad30)")
- (node (ref J202) (pin 30)))
- (net (code 177) (name "Net-(J202-Pad28)")
- (node (ref J202) (pin 28)))
- (net (code 178) (name "Net-(J202-Pad22)")
- (node (ref J202) (pin 22)))
- (net (code 179) (name "Net-(J202-Pad20)")
- (node (ref J202) (pin 20)))
- (net (code 180) (name "Net-(J202-Pad16)")
- (node (ref J202) (pin 16)))
- (net (code 181) (name "Net-(J202-Pad6)")
+ (net (code 231) (name "Net-(J201-Pad1)")
+ (node (ref J201) (pin 1)))
+ (net (code 232) (name "Net-(J201-Pad5)")
+ (node (ref J201) (pin 5)))
+ (net (code 233) (name "Net-(J201-Pad7)")
+ (node (ref J201) (pin 7)))
+ (net (code 234) (name "Net-(J201-Pad23)")
+ (node (ref J201) (pin 23)))
+ (net (code 235) (name "Net-(J201-Pad25)")
+ (node (ref J201) (pin 25)))
+ (net (code 236) (name "Net-(J201-Pad33)")
+ (node (ref J201) (pin 33)))
+ (net (code 237) (name "Net-(J201-Pad48)")
+ (node (ref J201) (pin 48)))
+ (net (code 238) (name "Net-(J201-Pad42)")
+ (node (ref J201) (pin 42)))
+ (net (code 239) (name "Net-(J201-Pad32)")
+ (node (ref J201) (pin 32)))
+ (net (code 240) (name "Net-(J201-Pad30)")
+ (node (ref J201) (pin 30)))
+ (net (code 241) (name "Net-(J201-Pad28)")
+ (node (ref J201) (pin 28)))
+ (net (code 242) (name "Net-(J201-Pad22)")
+ (node (ref J201) (pin 22)))
+ (net (code 243) (name "Net-(J201-Pad20)")
+ (node (ref J201) (pin 20)))
+ (net (code 244) (name "Net-(J201-Pad16)")
+ (node (ref J201) (pin 16)))
+ (net (code 245) (name "Net-(J201-Pad6)")
+ (node (ref J201) (pin 6)))
+ (net (code 246) (name "Net-(J202-Pad6)")
(node (ref J202) (pin 6)))
- (net (code 182) (name "Net-(J203-Pad6)")
- (node (ref J203) (pin 6)))
- (net (code 183) (name "Net-(C204-Pad1)")
- (node (ref C208) (pin 1))
- (node (ref C204) (pin 1))
- (node (ref R203) (pin 2))
- (node (ref J202) (pin 8))
- (node (ref J203) (pin 1)))
- (net (code 184) (name "Net-(J202-Pad10)")
- (node (ref J202) (pin 10))
- (node (ref R204) (pin 2)))
- (net (code 185) (name "Net-(C206-Pad1)")
+ (net (code 247) (name "Net-(J201-Pad10)")
+ (node (ref R204) (pin 2))
+ (node (ref J201) (pin 10)))
+ (net (code 248) (name "Net-(C216-Pad1)")
+ (node (ref J202) (pin 3))
(node (ref R205) (pin 1))
- (node (ref J203) (pin 3))
- (node (ref C206) (pin 1)))
- (net (code 186) (name "Net-(J202-Pad12)")
- (node (ref R205) (pin 2))
- (node (ref J202) (pin 12)))
- (net (code 187) (name "Net-(J202-Pad14)")
- (node (ref R206) (pin 2))
- (node (ref J202) (pin 14)))
- (net (code 188) (name "Net-(JP201-Pad1)")
- (node (ref JP201) (pin 1))
- (node (ref R203) (pin 1)))
- (net (code 189) (name "Net-(C216-Pad1)")
- (node (ref U205) (pin 1))
(node (ref C216) (pin 1)))
- (net (code 190) (name "Net-(J204-Pad1)")
- (node (ref J204) (pin 1))
- (node (ref U205) (pin 7)))
- (net (code 191) (name "Net-(J204-Pad2)")
- (node (ref J204) (pin 2))
- (node (ref U205) (pin 9)))
- (net (code 192) (name "Net-(C205-Pad1)")
- (node (ref J203) (pin 2))
+ (net (code 249) (name "Net-(J201-Pad12)")
+ (node (ref J201) (pin 12))
+ (node (ref R205) (pin 2)))
+ (net (code 250) (name "Net-(C214-Pad1)")
+ (node (ref C214) (pin 1))
+ (node (ref J201) (pin 8))
+ (node (ref J202) (pin 1)))
+ (net (code 251) (name "Net-(C215-Pad1)")
+ (node (ref C215) (pin 1))
(node (ref R206) (pin 1))
- (node (ref C205) (pin 1)))
- (net (code 193) (name "Net-(C207-Pad1)")
- (node (ref C207) (pin 1))
+ (node (ref J202) (pin 2)))
+ (net (code 252) (name "Net-(C217-Pad1)")
(node (ref R204) (pin 1))
- (node (ref JP201) (pin 2))
- (node (ref J203) (pin 7)))
- (net (code 194) (name /periph/Quectel.UART.RXD)
- (node (ref JP206) (pin 2))
- (node (ref J202) (pin 11)))
- (net (code 195) (name /periph/Quectel.UART.TXD)
- (node (ref JP205) (pin 2))
- (node (ref J202) (pin 13)))
- (net (code 196) (name /periph/Quectel.UART.RI)
- (node (ref U204) (pin 5))
+ (node (ref C217) (pin 1))
+ (node (ref J202) (pin 7)))
+ (net (code 253) (name "Net-(J201-Pad14)")
+ (node (ref J201) (pin 14))
+ (node (ref R206) (pin 2)))
+ (net (code 254) (name "Net-(C219-Pad1)")
+ (node (ref C219) (pin 1))
+ (node (ref U205) (pin 1)))
+ (net (code 255) (name "Net-(J203-Pad1)")
+ (node (ref U205) (pin 7))
+ (node (ref J203) (pin 1)))
+ (net (code 256) (name "Net-(J203-Pad2)")
+ (node (ref U205) (pin 9))
+ (node (ref J203) (pin 2)))
+ (net (code 257) (name /periph/ESP32.U2.RXD)
+ (node (ref JP204) (pin 1))
+ (node (ref U201) (pin 28))
+ (node (ref U204) (pin 11)))
+ (net (code 258) (name /periph/ESP32.U2.TXD)
+ (node (ref U204) (pin 10))
+ (node (ref U201) (pin 27))
+ (node (ref JP205) (pin 1)))
+ (net (code 259) (name /periph/Quectel.UART.RXD)
+ (node (ref J201) (pin 11))
+ (node (ref JP205) (pin 2)))
+ (net (code 260) (name /periph/Quectel.UART.TXD)
(node (ref JP204) (pin 2))
- (node (ref J202) (pin 17)))
- (net (code 197) (name /periph/Quectel.UART.DTR)
- (node (ref J202) (pin 31))
- (node (ref JP203) (pin 2)))
- (net (code 198) (name /periph/SIMCom.UART.TXD)
- (node (ref J202) (pin 19))
- (node (ref U204) (pin 4)))
- (net (code 199) (name /periph/SIMCom.UART.RI)
- (node (ref J202) (pin 44))
+ (node (ref J201) (pin 13)))
+ (net (code 261) (name /periph/Quectel.UART.RI)
+ (node (ref JP203) (pin 2))
+ (node (ref J201) (pin 17))
+ (node (ref U204) (pin 5)))
+ (net (code 262) (name /periph/Quectel.UART.DTR)
+ (node (ref J201) (pin 31))
+ (node (ref JP202) (pin 2)))
+ (net (code 263) (name /periph/SIMCom.UART.TXD)
+ (node (ref U204) (pin 4))
+ (node (ref J201) (pin 19)))
+ (net (code 264) (name /periph/SIMCom.UART.RI)
+ (node (ref J201) (pin 44))
(node (ref U204) (pin 2)))
- (net (code 200) (name /periph/SIMCom.UART.DTR)
- (node (ref J202) (pin 46))
+ (net (code 265) (name /periph/SIMCom.UART.DTR)
+ (node (ref J201) (pin 46))
(node (ref U204) (pin 3)))
- (net (code 201) (name /periph/ESP32.U2.DTR)
- (node (ref U204) (pin 12))
+ (net (code 266) (name /periph/ESP32.U2.DTR)
+ (node (ref JP202) (pin 1))
(node (ref U201) (pin 8))
- (node (ref JP203) (pin 1)))
- (net (code 202) (name /periph/ESP32.U2.RI)
+ (node (ref U204) (pin 12)))
+ (net (code 267) (name /periph/ESP32.U2.RI)
(node (ref U204) (pin 13))
- (node (ref U201) (pin 7))
- (node (ref JP204) (pin 1)))
- (net (code 203) (name "Net-(JP202-Pad2)")
- (node (ref U204) (pin 8))
- (node (ref JP202) (pin 2)))
- (net (code 204) (name /periph/ESP32.PCM.CLK)
- (node (ref U203) (pin 13))
- (node (ref U201) (pin 9)))
- (net (code 205) (name /periph/ESP32.PCM.DI)
- (node (ref U203) (pin 12))
- (node (ref U201) (pin 6)))
- (net (code 206) (name /periph/Modem.PCM.CLK)
- (node (ref J202) (pin 45))
+ (node (ref JP203) (pin 1))
+ (node (ref U201) (pin 7)))
+ (net (code 268) (name "Net-(JP201-Pad2)")
+ (node (ref JP201) (pin 2))
+ (node (ref U204) (pin 8)))
+ (net (code 269) (name "Net-(C203-Pad1)")
+ (node (ref SW201) (pin 1))
+ (node (ref U201) (pin 3))
+ (node (ref R201) (pin 2))
+ (node (ref C203) (pin 1)))
+ (net (code 270) (name /periph/ESP32.PCM.SYNC)
+ (node (ref U203) (pin 10))
+ (node (ref U201) (pin 26)))
+ (net (code 271) (name /periph/ESP32.PCM.DO)
+ (node (ref U201) (pin 24))
+ (node (ref U203) (pin 11)))
+ (net (code 272) (name /periph/ESP32.PCM.CLK)
+ (node (ref U201) (pin 9))
+ (node (ref U203) (pin 13)))
+ (net (code 273) (name /periph/ESP32.PCM.DI)
+ (node (ref U201) (pin 6))
+ (node (ref U203) (pin 12)))
+ (net (code 274) (name /periph/Modem.PCM.CLK)
+ (node (ref J201) (pin 45))
(node (ref U203) (pin 2)))
- (net (code 207) (name /periph/Modem.PCM.DO)
- (node (ref J202) (pin 47))
+ (net (code 275) (name /periph/Modem.PCM.DO)
+ (node (ref J201) (pin 47))
(node (ref U203) (pin 3)))
- (net (code 208) (name /periph/Modem.PCM.DI)
- (node (ref U203) (pin 4))
- (node (ref J202) (pin 49)))
- (net (code 209) (name /periph/Modem.PCM.SYNC)
- (node (ref J202) (pin 51))
+ (net (code 276) (name /periph/Modem.PCM.DI)
+ (node (ref J201) (pin 49))
+ (node (ref U203) (pin 4)))
+ (net (code 277) (name /periph/Modem.PCM.SYNC)
+ (node (ref J201) (pin 51))
(node (ref U203) (pin 5)))
- (net (code 210) (name /periph/ESP32.VSPI.SS0)
+ (net (code 278) (name /periph/ESP32.VSPI.SS0)
(node (ref R202) (pin 2))
(node (ref U202) (pin 3))
(node (ref U201) (pin 29)))
- (net (code 211) (name /periph/ESP32.VSPI.SCK)
- (node (ref U201) (pin 30))
- (node (ref U202) (pin 12)))
- (net (code 212) (name /periph/ESP32.VSPI.MISO)
- (node (ref U201) (pin 31))
- (node (ref U202) (pin 5)))
- (net (code 213) (name /periph/ESP32.VSPI.MOSI)
+ (net (code 279) (name /periph/ESP32.VSPI.MISO)
+ (node (ref U202) (pin 5))
+ (node (ref U201) (pin 31)))
+ (net (code 280) (name /periph/ESP32.VSPI.MOSI)
(node (ref U201) (pin 37))
(node (ref U202) (pin 9)))
- (net (code 214) (name /periph/CAM.MOSI)
- (node (ref J206) (pin 2))
- (node (ref U206) (pin 2)))
- (net (code 215) (name /periph/CAM.MISO)
- (node (ref J206) (pin 3))
+ (net (code 281) (name /periph/CAM.MOSI)
+ (node (ref J204) (pin 2))
+ (node (ref U208) (pin 2)))
+ (net (code 282) (name /periph/CAM.MISO)
+ (node (ref U208) (pin 5))
+ (node (ref J204) (pin 3)))
+ (net (code 283) (name /periph/CAM.SCK)
+ (node (ref J204) (pin 4))
+ (node (ref U208) (pin 9)))
+ (net (code 284) (name /periph/CAM.PWR)
+ (node (ref J204) (pin 6))
+ (node (ref U206) (pin 6)))
+ (net (code 285) (name "Net-(U206-Pad5)")
(node (ref U206) (pin 5)))
- (net (code 216) (name /periph/CAM.SCK)
- (node (ref J206) (pin 4))
- (node (ref U206) (pin 9)))
- (net (code 217) (name "Net-(U207-Pad4)")
- (node (ref U207) (pin 4)))
- (net (code 218) (name "Net-(U207-Pad5)")
- (node (ref U207) (pin 5)))
- (net (code 219) (name /periph/CAM.PWR)
- (node (ref J206) (pin 6))
+ (net (code 286) (name "Net-(U206-Pad4)")
+ (node (ref U206) (pin 4)))
+ (net (code 287) (name /periph/CAM.SCL)
+ (node (ref U207) (pin 1))
+ (node (ref J204) (pin 8)))
+ (net (code 288) (name /periph/CAM.SDA)
+ (node (ref J204) (pin 7))
(node (ref U207) (pin 6)))
- (net (code 220) (name /periph/CAM.SDA)
- (node (ref J206) (pin 7))
- (node (ref U208) (pin 6)))
- (net (code 221) (name /periph/CAM.SCL)
- (node (ref J206) (pin 8))
- (node (ref U208) (pin 1)))
- (net (code 222) (name ESP32.HSPI.SCK)
+ (net (code 289) (name ESP32.HSPI.SCK)
(node (ref J301) (pin 13))
(node (ref U201) (pin 13)))
- (net (code 223) (name ESP32.HSPI.MISO)
+ (net (code 290) (name ESP32.HSPI.MISO)
(node (ref U201) (pin 14))
(node (ref J301) (pin 15)))
- (net (code 224) (name "Net-(C221-Pad2)")
- (node (ref U209) (pin 4))
- (node (ref C221) (pin 2)))
- (net (code 225) (name "Net-(U209-Pad5)")
- (node (ref U209) (pin 5)))
- (net (code 226) (name ESP32.IO27)
- (node (ref U201) (pin 12))
- (node (ref J301) (pin 9)))
- (net (code 227) (name ESP32.IO36)
- (node (ref U201) (pin 4))
- (node (ref J301) (pin 7)))
- (net (code 228) (name "Net-(C203-Pad1)")
- (node (ref U201) (pin 3))
- (node (ref C203) (pin 1))
- (node (ref SW201) (pin 1))
- (node (ref R201) (pin 2)))
- (net (code 229) (name "Net-(J205-Pad1)")
- (node (ref J205) (pin 1)))
- (net (code 230) (name "Net-(U203-Pad6)")
- (node (ref U203) (pin 6)))
- (net (code 231) (name "Net-(U203-Pad9)")
- (node (ref U203) (pin 9)))
- (net (code 232) (name "Net-(U204-Pad6)")
- (node (ref U204) (pin 6)))
- (net (code 233) (name "Net-(U204-Pad9)")
- (node (ref U204) (pin 9)))
- (net (code 234) (name ESP32.TXD0)
- (node (ref J304) (pin 2))
- (node (ref U201) (pin 35)))
- (net (code 235) (name ESP32.RXD0)
+ (net (code 291) (name ESP32.HSPI.SS0)
+ (node (ref J301) (pin 17))
+ (node (ref U201) (pin 23)))
+ (net (code 292) (name ESP32.HSPI.MOSI)
+ (node (ref U201) (pin 16))
+ (node (ref J301) (pin 11)))
+ (net (code 293) (name ESP32.IO27)
+ (node (ref J301) (pin 9))
+ (node (ref U201) (pin 12)))
+ (net (code 294) (name ESP32.IO36)
+ (node (ref J301) (pin 7))
+ (node (ref U201) (pin 4)))
+ (net (code 295) (name "Net-(U209-Pad9)")
+ (node (ref U209) (pin 9)))
+ (net (code 296) (name "Net-(U209-Pad13)")
+ (node (ref U209) (pin 13)))
+ (net (code 297) (name "Net-(U209-Pad12)")
+ (node (ref U209) (pin 12)))
+ (net (code 298) (name "Net-(U209-Pad11)")
+ (node (ref U209) (pin 11)))
+ (net (code 299) (name "Net-(U209-Pad10)")
+ (node (ref U209) (pin 10)))
+ (net (code 300) (name "Net-(C229-Pad1)")
+ (node (ref U209) (pin 24))
+ (node (ref C229) (pin 1)))
+ (net (code 301) (name "Net-(C228-Pad1)")
+ (node (ref U209) (pin 21))
+ (node (ref C228) (pin 1)))
+ (net (code 302) (name "Net-(U201-Pad18)")
+ (node (ref U201) (pin 18)))
+ (net (code 303) (name "Net-(U201-Pad17)")
+ (node (ref U201) (pin 17)))
+ (net (code 304) (name ESP32.TXD0)
+ (node (ref U201) (pin 35))
+ (node (ref J302) (pin 2)))
+ (net (code 305) (name ESP32.RXD0)
(node (ref U201) (pin 34))
- (node (ref J304) (pin 1)))
- (net (code 236) (name TPS.VOUT)
- (node (ref J304) (pin 12))
- (node (ref U209) (pin 6)))
- (net (code 237) (name iMX8_USB1_D_N)
+ (node (ref J302) (pin 1)))
+ (net (code 306) (name "Net-(U201-Pad32)")
+ (node (ref U201) (pin 32)))
+ (net (code 307) (name /periph/ESP32.VSPI.SCK)
+ (node (ref U201) (pin 30))
+ (node (ref U202) (pin 12)))
+ (net (code 308) (name "Net-(J205-Pad1)")
+ (node (ref J205) (pin 1)))
+ (net (code 309) (name iMX8.USB1.D_P)
+ (node (ref J201) (pin 38))
+ (node (ref J301) (pin 176)))
+ (net (code 310) (name iMX8.USB1.D_N)
(node (ref J301) (pin 178))
- (node (ref J202) (pin 36)))
- (net (code 238) (name iMX8_USB1_D_P)
- (node (ref J301) (pin 176))
- (node (ref J202) (pin 38)))
- (net (code 239) (name "Net-(C301-Pad1)")
+ (node (ref J201) (pin 36)))
+ (net (code 311) (name "Net-(U204-Pad9)")
+ (node (ref U204) (pin 9)))
+ (net (code 312) (name "Net-(U204-Pad6)")
+ (node (ref U204) (pin 6)))
+ (net (code 313) (name "Net-(U203-Pad9)")
+ (node (ref U203) (pin 9)))
+ (net (code 314) (name "Net-(U203-Pad6)")
+ (node (ref U203) (pin 6)))
+ (net (code 315) (name "Net-(J301-Pad40)")
+ (node (ref J301) (pin 40)))
+ (net (code 316) (name "Net-(C301-Pad1)")
(node (ref C301) (pin 1))
(node (ref J301) (pin 183)))
- (net (code 240) (name "Net-(J301-Pad3)")
+ (net (code 317) (name +VSYS)
+ (node (ref C315) (pin 1))
+ (node (ref C314) (pin 1))
+ (node (ref C313) (pin 1))
+ (node (ref C312) (pin 1))
+ (node (ref C311) (pin 1))
+ (node (ref D1) (pin 2))
+ (node (ref C310) (pin 1))
+ (node (ref L1) (pin 2))
+ (node (ref C2) (pin 1))
+ (node (ref C3) (pin 1))
+ (node (ref C9) (pin 1))
+ (node (ref C309) (pin 1))
+ (node (ref C308) (pin 1))
+ (node (ref C307) (pin 1))
+ (node (ref C306) (pin 1))
+ (node (ref C305) (pin 1))
+ (node (ref C304) (pin 1))
+ (node (ref C35) (pin 1))
+ (node (ref C34) (pin 1))
+ (node (ref C7) (pin 1))
+ (node (ref C41) (pin 1))
+ (node (ref U401) (pin 5))
+ (node (ref R28) (pin 1))
+ (node (ref J301) (pin 96))
+ (node (ref C303) (pin 1))
+ (node (ref C37) (pin 1))
+ (node (ref C36) (pin 1))
+ (node (ref C317) (pin 1))
+ (node (ref J301) (pin 78))
+ (node (ref J301) (pin 64))
+ (node (ref J301) (pin 46))
+ (node (ref C404) (pin 1))
+ (node (ref J301) (pin 10))
+ (node (ref J301) (pin 28))
+ (node (ref C405) (pin 1))
+ (node (ref R402) (pin 1))
+ (node (ref J301) (pin 204))
+ (node (ref J301) (pin 186))
+ (node (ref C302) (pin 1))
+ (node (ref J301) (pin 168))
+ (node (ref U12) (pin 7))
+ (node (ref C318) (pin 1))
+ (node (ref J301) (pin 150))
+ (node (ref U12) (pin 8))
+ (node (ref U13) (pin 7))
+ (node (ref U13) (pin 8))
+ (node (ref U1) (pin 15))
+ (node (ref U1) (pin 16))
+ (node (ref U2) (pin 4))
+ (node (ref J301) (pin 114))
+ (node (ref U2) (pin 5))
+ (node (ref U3) (pin 4))
+ (node (ref C316) (pin 1))
+ (node (ref U3) (pin 5))
+ (node (ref J301) (pin 132))
+ (node (ref U3) (pin 6))
+ (node (ref U3) (pin 7))
+ (node (ref L401) (pin 1))
+ (node (ref U3) (pin 8))
+ (node (ref U15) (pin 5)))
+ (net (code 318) (name "Net-(J301-Pad3)")
(node (ref J301) (pin 3)))
- (net (code 241) (name "Net-(J301-Pad21)")
+ (net (code 319) (name "Net-(J301-Pad21)")
(node (ref J301) (pin 21)))
- (net (code 242) (name "Net-(J301-Pad23)")
+ (net (code 320) (name "Net-(J301-Pad23)")
(node (ref J301) (pin 23)))
- (net (code 243) (name "Net-(J301-Pad25)")
+ (net (code 321) (name "Net-(J301-Pad25)")
(node (ref J301) (pin 25)))
- (net (code 244) (name "Net-(J301-Pad27)")
+ (net (code 322) (name "Net-(J301-Pad27)")
(node (ref J301) (pin 27)))
- (net (code 245) (name "Net-(J301-Pad29)")
+ (net (code 323) (name "Net-(J301-Pad29)")
(node (ref J301) (pin 29)))
- (net (code 246) (name "Net-(J301-Pad31)")
+ (net (code 324) (name "Net-(J301-Pad31)")
(node (ref J301) (pin 31)))
- (net (code 247) (name "Net-(J301-Pad43)")
+ (net (code 325) (name "Net-(J301-Pad43)")
(node (ref J301) (pin 43)))
- (net (code 248) (name "Net-(J301-Pad49)")
+ (net (code 326) (name "Net-(J301-Pad49)")
(node (ref J301) (pin 49)))
- (net (code 249) (name "Net-(J301-Pad2)")
+ (net (code 327) (name "Net-(J301-Pad2)")
(node (ref J301) (pin 2)))
- (net (code 250) (name "Net-(J301-Pad4)")
+ (net (code 328) (name "Net-(J301-Pad4)")
(node (ref J301) (pin 4)))
- (net (code 251) (name "Net-(J301-Pad6)")
+ (net (code 329) (name "Net-(J301-Pad6)")
(node (ref J301) (pin 6)))
- (net (code 252) (name "Net-(J301-Pad8)")
+ (net (code 330) (name "Net-(J301-Pad8)")
(node (ref J301) (pin 8)))
- (net (code 253) (name "Net-(J301-Pad12)")
+ (net (code 331) (name "Net-(J301-Pad12)")
(node (ref J301) (pin 12)))
- (net (code 254) (name "Net-(J301-Pad14)")
+ (net (code 332) (name "Net-(J301-Pad14)")
(node (ref J301) (pin 14)))
- (net (code 255) (name "Net-(J301-Pad16)")
+ (net (code 333) (name "Net-(J301-Pad16)")
(node (ref J301) (pin 16)))
- (net (code 256) (name "Net-(J301-Pad18)")
+ (net (code 334) (name "Net-(J301-Pad18)")
(node (ref J301) (pin 18)))
- (net (code 257) (name "Net-(J301-Pad20)")
+ (net (code 335) (name "Net-(J301-Pad20)")
(node (ref J301) (pin 20)))
- (net (code 258) (name "Net-(J301-Pad22)")
+ (net (code 336) (name "Net-(J301-Pad22)")
(node (ref J301) (pin 22)))
- (net (code 259) (name "Net-(J301-Pad24)")
+ (net (code 337) (name "Net-(J301-Pad24)")
(node (ref J301) (pin 24)))
- (net (code 260) (name "Net-(J301-Pad26)")
+ (net (code 338) (name "Net-(J301-Pad26)")
(node (ref J301) (pin 26)))
- (net (code 261) (name "Net-(J301-Pad30)")
+ (net (code 339) (name "Net-(J301-Pad30)")
(node (ref J301) (pin 30)))
- (net (code 262) (name "Net-(J301-Pad32)")
+ (net (code 340) (name "Net-(J301-Pad32)")
(node (ref J301) (pin 32)))
- (net (code 263) (name "Net-(J301-Pad34)")
+ (net (code 341) (name "Net-(J301-Pad34)")
(node (ref J301) (pin 34)))
- (net (code 264) (name "Net-(J301-Pad36)")
+ (net (code 342) (name "Net-(J301-Pad36)")
(node (ref J301) (pin 36)))
- (net (code 265) (name "Net-(J301-Pad38)")
- (node (ref J301) (pin 38)))
- (net (code 266) (name "Net-(J301-Pad40)")
- (node (ref J301) (pin 40)))
- (net (code 267) (name "Net-(J301-Pad42)")
- (node (ref J301) (pin 42)))
- (net (code 268) (name "Net-(J301-Pad44)")
- (node (ref J301) (pin 44)))
- (net (code 269) (name "Net-(J301-Pad48)")
- (node (ref J301) (pin 48)))
- (net (code 270) (name "Net-(J301-Pad50)")
- (node (ref J301) (pin 50)))
- (net (code 271) (name "Net-(J301-Pad52)")
- (node (ref J301) (pin 52)))
- (net (code 272) (name "Net-(J301-Pad54)")
- (node (ref J301) (pin 54)))
- (net (code 273) (name "Net-(J301-Pad56)")
- (node (ref J301) (pin 56)))
- (net (code 274) (name "Net-(J301-Pad61)")
- (node (ref J301) (pin 61)))
- (net (code 275) (name "Net-(J301-Pad63)")
- (node (ref J301) (pin 63)))
- (net (code 276) (name "Net-(J301-Pad65)")
- (node (ref J301) (pin 65)))
- (net (code 277) (name "Net-(J301-Pad67)")
- (node (ref J301) (pin 67)))
- (net (code 278) (name "Net-(J301-Pad69)")
- (node (ref J301) (pin 69)))
- (net (code 279) (name "Net-(J301-Pad73)")
- (node (ref J301) (pin 73)))
- (net (code 280) (name "Net-(J301-Pad76)")
+ (net (code 343) (name "Net-(J302-Pad12)")
+ (node (ref J302) (pin 12)))
+ (net (code 344) (name "Net-(J301-Pad99)")
+ (node (ref J301) (pin 99)))
+ (net (code 345) (name "Net-(J301-Pad98)")
+ (node (ref J301) (pin 98)))
+ (net (code 346) (name "Net-(J301-Pad97)")
+ (node (ref J301) (pin 97)))
+ (net (code 347) (name "Net-(J301-Pad95)")
+ (node (ref J301) (pin 95)))
+ (net (code 348) (name "Net-(J301-Pad94)")
+ (node (ref J301) (pin 94)))
+ (net (code 349) (name "Net-(J301-Pad93)")
+ (node (ref J301) (pin 93)))
+ (net (code 350) (name "Net-(J301-Pad92)")
+ (node (ref J301) (pin 92)))
+ (net (code 351) (name "Net-(J301-Pad91)")
+ (node (ref J301) (pin 91)))
+ (net (code 352) (name "Net-(J301-Pad90)")
+ (node (ref J301) (pin 90)))
+ (net (code 353) (name "Net-(J301-Pad89)")
+ (node (ref J301) (pin 89)))
+ (net (code 354) (name "Net-(J301-Pad88)")
+ (node (ref J301) (pin 88)))
+ (net (code 355) (name "Net-(J301-Pad86)")
+ (node (ref J301) (pin 86)))
+ (net (code 356) (name "Net-(J301-Pad85)")
+ (node (ref J301) (pin 85)))
+ (net (code 357) (name "Net-(J301-Pad84)")
+ (node (ref J301) (pin 84)))
+ (net (code 358) (name "Net-(J301-Pad83)")
+ (node (ref J301) (pin 83)))
+ (net (code 359) (name "Net-(J301-Pad82)")
+ (node (ref J301) (pin 82)))
+ (net (code 360) (name "Net-(J301-Pad81)")
+ (node (ref J301) (pin 81)))
+ (net (code 361) (name "Net-(J301-Pad80)")
+ (node (ref J301) (pin 80)))
+ (net (code 362) (name "Net-(J301-Pad79)")
+ (node (ref J301) (pin 79)))
+ (net (code 363) (name "Net-(J301-Pad77)")
+ (node (ref J301) (pin 77)))
+ (net (code 364) (name "Net-(J301-Pad76)")
(node (ref J301) (pin 76)))
- (net (code 281) (name "Net-(J301-Pad74)")
+ (net (code 365) (name "Net-(J301-Pad74)")
(node (ref J301) (pin 74)))
- (net (code 282) (name "Net-(J301-Pad72)")
+ (net (code 366) (name "Net-(J301-Pad73)")
+ (node (ref J301) (pin 73)))
+ (net (code 367) (name "Net-(J301-Pad72)")
(node (ref J301) (pin 72)))
- (net (code 283) (name "Net-(J301-Pad70)")
+ (net (code 368) (name "Net-(J301-Pad70)")
(node (ref J301) (pin 70)))
- (net (code 284) (name "Net-(J301-Pad68)")
+ (net (code 369) (name "Net-(J301-Pad69)")
+ (node (ref J301) (pin 69)))
+ (net (code 370) (name "Net-(J301-Pad68)")
(node (ref J301) (pin 68)))
- (net (code 285) (name "Net-(J301-Pad66)")
+ (net (code 371) (name "Net-(J301-Pad67)")
+ (node (ref J301) (pin 67)))
+ (net (code 372) (name "Net-(J301-Pad66)")
(node (ref J301) (pin 66)))
- (net (code 286) (name "Net-(J301-Pad62)")
+ (net (code 373) (name "Net-(J301-Pad65)")
+ (node (ref J301) (pin 65)))
+ (net (code 374) (name "Net-(J301-Pad63)")
+ (node (ref J301) (pin 63)))
+ (net (code 375) (name "Net-(J301-Pad62)")
(node (ref J301) (pin 62)))
- (net (code 287) (name "Net-(J301-Pad80)")
- (node (ref J301) (pin 80)))
- (net (code 288) (name "Net-(J301-Pad82)")
- (node (ref J301) (pin 82)))
- (net (code 289) (name "Net-(J301-Pad84)")
- (node (ref J301) (pin 84)))
- (net (code 290) (name "Net-(J301-Pad92)")
- (node (ref J301) (pin 92)))
- (net (code 291) (name "Net-(J301-Pad94)")
- (node (ref J301) (pin 94)))
- (net (code 292) (name "Net-(J301-Pad77)")
- (node (ref J301) (pin 77)))
- (net (code 293) (name "Net-(J301-Pad79)")
- (node (ref J301) (pin 79)))
- (net (code 294) (name "Net-(J301-Pad81)")
- (node (ref J301) (pin 81)))
- (net (code 295) (name "Net-(J301-Pad83)")
- (node (ref J301) (pin 83)))
- (net (code 296) (name "Net-(J301-Pad85)")
- (node (ref J301) (pin 85)))
- (net (code 297) (name "Net-(J301-Pad89)")
- (node (ref J301) (pin 89)))
- (net (code 298) (name "Net-(J301-Pad91)")
- (node (ref J301) (pin 91)))
- (net (code 299) (name "Net-(J301-Pad93)")
- (node (ref J301) (pin 93)))
- (net (code 300) (name "Net-(J301-Pad95)")
- (node (ref J301) (pin 95)))
- (net (code 301) (name "Net-(J301-Pad97)")
- (node (ref J301) (pin 97)))
- (net (code 302) (name "Net-(J301-Pad99)")
- (node (ref J301) (pin 99)))
- (net (code 303) (name "Net-(J301-Pad101)")
- (node (ref J301) (pin 101)))
- (net (code 304) (name "Net-(J301-Pad103)")
- (node (ref J301) (pin 103)))
- (net (code 305) (name "Net-(J301-Pad107)")
- (node (ref J301) (pin 107)))
- (net (code 306) (name "Net-(J301-Pad109)")
- (node (ref J301) (pin 109)))
- (net (code 307) (name "Net-(J301-Pad111)")
- (node (ref J301) (pin 111)))
- (net (code 308) (name "Net-(J301-Pad113)")
- (node (ref J301) (pin 113)))
- (net (code 309) (name "Net-(J301-Pad98)")
- (node (ref J301) (pin 98)))
- (net (code 310) (name "Net-(J301-Pad100)")
- (node (ref J301) (pin 100)))
- (net (code 311) (name "Net-(J301-Pad102)")
- (node (ref J301) (pin 102)))
- (net (code 312) (name "Net-(J301-Pad104)")
- (node (ref J301) (pin 104)))
- (net (code 313) (name "Net-(J301-Pad106)")
- (node (ref J301) (pin 106)))
- (net (code 314) (name "Net-(J301-Pad108)")
- (node (ref J301) (pin 108)))
- (net (code 315) (name "Net-(J301-Pad110)")
- (node (ref J301) (pin 110)))
- (net (code 316) (name "Net-(J301-Pad112)")
- (node (ref J301) (pin 112)))
- (net (code 317) (name "Net-(J301-Pad116)")
- (node (ref J301) (pin 116)))
- (net (code 318) (name "Net-(J301-Pad118)")
- (node (ref J301) (pin 118)))
- (net (code 319) (name "Net-(J301-Pad120)")
- (node (ref J301) (pin 120)))
- (net (code 320) (name "Net-(J301-Pad122)")
- (node (ref J301) (pin 122)))
- (net (code 321) (name "Net-(J301-Pad124)")
- (node (ref J301) (pin 124)))
- (net (code 322) (name "Net-(J301-Pad126)")
- (node (ref J301) (pin 126)))
- (net (code 323) (name "Net-(J301-Pad128)")
- (node (ref J301) (pin 128)))
- (net (code 324) (name "Net-(J301-Pad130)")
- (node (ref J301) (pin 130)))
- (net (code 325) (name "Net-(J301-Pad134)")
- (node (ref J301) (pin 134)))
- (net (code 326) (name "Net-(J301-Pad136)")
- (node (ref J301) (pin 136)))
- (net (code 327) (name "Net-(J301-Pad138)")
- (node (ref J301) (pin 138)))
- (net (code 328) (name "Net-(J301-Pad140)")
- (node (ref J301) (pin 140)))
- (net (code 329) (name "Net-(J301-Pad142)")
- (node (ref J301) (pin 142)))
- (net (code 330) (name "Net-(J301-Pad144)")
- (node (ref J301) (pin 144)))
- (net (code 331) (name "Net-(J301-Pad146)")
- (node (ref J301) (pin 146)))
- (net (code 332) (name "Net-(J301-Pad148)")
- (node (ref J301) (pin 148)))
- (net (code 333) (name "Net-(J301-Pad152)")
- (node (ref J301) (pin 152)))
- (net (code 334) (name "Net-(J301-Pad156)")
- (node (ref J301) (pin 156)))
- (net (code 335) (name "Net-(J301-Pad158)")
- (node (ref J301) (pin 158)))
- (net (code 336) (name "Net-(J301-Pad160)")
- (node (ref J301) (pin 160)))
- (net (code 337) (name "Net-(J301-Pad162)")
- (node (ref J301) (pin 162)))
- (net (code 338) (name "Net-(J301-Pad164)")
- (node (ref J301) (pin 164)))
- (net (code 339) (name "Net-(J301-Pad166)")
- (node (ref J301) (pin 166)))
- (net (code 340) (name "Net-(J301-Pad170)")
- (node (ref J301) (pin 170)))
- (net (code 341) (name "Net-(J301-Pad172)")
- (node (ref J301) (pin 172)))
- (net (code 342) (name "Net-(J301-Pad174)")
- (node (ref J301) (pin 174)))
- (net (code 343) (name "Net-(J301-Pad180)")
- (node (ref J301) (pin 180)))
- (net (code 344) (name "Net-(J301-Pad182)")
- (node (ref J301) (pin 182)))
- (net (code 345) (name "Net-(J301-Pad184)")
- (node (ref J301) (pin 184)))
- (net (code 346) (name "Net-(J301-Pad188)")
- (node (ref J301) (pin 188)))
- (net (code 347) (name "Net-(J301-Pad190)")
- (node (ref J301) (pin 190)))
- (net (code 348) (name "Net-(J301-Pad192)")
- (node (ref J301) (pin 192)))
- (net (code 349) (name "Net-(J301-Pad194)")
- (node (ref J301) (pin 194)))
- (net (code 350) (name "Net-(J301-Pad196)")
- (node (ref J301) (pin 196)))
- (net (code 351) (name "Net-(J301-Pad198)")
- (node (ref J301) (pin 198)))
- (net (code 352) (name "Net-(J301-Pad202)")
- (node (ref J301) (pin 202)))
- (net (code 353) (name "Net-(J301-Pad203)")
+ (net (code 376) (name "Net-(J301-Pad61)")
+ (node (ref J301) (pin 61)))
+ (net (code 377) (name "Net-(J301-Pad60)")
+ (node (ref J301) (pin 60)))
+ (net (code 378) (name "Net-(J301-Pad58)")
+ (node (ref J301) (pin 58)))
+ (net (code 379) (name "Net-(J301-Pad56)")
+ (node (ref J301) (pin 56)))
+ (net (code 380) (name "Net-(J301-Pad54)")
+ (node (ref J301) (pin 54)))
+ (net (code 381) (name "Net-(J301-Pad52)")
+ (node (ref J301) (pin 52)))
+ (net (code 382) (name "Net-(J301-Pad5)")
+ (node (ref J301) (pin 5)))
+ (net (code 383) (name "Net-(J301-Pad50)")
+ (node (ref J301) (pin 50)))
+ (net (code 384) (name "Net-(J301-Pad48)")
+ (node (ref J301) (pin 48)))
+ (net (code 385) (name "Net-(J301-Pad44)")
+ (node (ref J301) (pin 44)))
+ (net (code 386) (name "Net-(J301-Pad42)")
+ (node (ref J301) (pin 42)))
+ (net (code 387) (name "Net-(J301-Pad38)")
+ (node (ref J301) (pin 38)))
+ (net (code 388) (name "Net-(J301-Pad203)")
(node (ref J301) (pin 203)))
- (net (code 354) (name "Net-(J301-Pad201)")
+ (net (code 389) (name "Net-(J301-Pad202)")
+ (node (ref J301) (pin 202)))
+ (net (code 390) (name "Net-(J301-Pad201)")
(node (ref J301) (pin 201)))
- (net (code 355) (name "Net-(J301-Pad199)")
+ (net (code 391) (name "Net-(J301-Pad200)")
+ (node (ref J301) (pin 200)))
+ (net (code 392) (name "Net-(J301-Pad199)")
(node (ref J301) (pin 199)))
- (net (code 356) (name "Net-(J301-Pad197)")
+ (net (code 393) (name "Net-(J301-Pad198)")
+ (node (ref J301) (pin 198)))
+ (net (code 394) (name "Net-(J301-Pad197)")
(node (ref J301) (pin 197)))
- (net (code 357) (name "Net-(J301-Pad195)")
+ (net (code 395) (name "Net-(J301-Pad196)")
+ (node (ref J301) (pin 196)))
+ (net (code 396) (name "Net-(J301-Pad195)")
(node (ref J301) (pin 195)))
- (net (code 358) (name "Net-(J301-Pad193)")
+ (net (code 397) (name "Net-(J301-Pad194)")
+ (node (ref J301) (pin 194)))
+ (net (code 398) (name "Net-(J301-Pad193)")
(node (ref J301) (pin 193)))
- (net (code 359) (name "Net-(J301-Pad191)")
+ (net (code 399) (name "Net-(J301-Pad192)")
+ (node (ref J301) (pin 192)))
+ (net (code 400) (name "Net-(J301-Pad191)")
(node (ref J301) (pin 191)))
- (net (code 360) (name "Net-(J301-Pad189)")
+ (net (code 401) (name "Net-(J301-Pad190)")
+ (node (ref J301) (pin 190)))
+ (net (code 402) (name "Net-(J301-Pad189)")
(node (ref J301) (pin 189)))
- (net (code 361) (name "Net-(J301-Pad187)")
+ (net (code 403) (name "Net-(J301-Pad188)")
+ (node (ref J301) (pin 188)))
+ (net (code 404) (name "Net-(J301-Pad187)")
(node (ref J301) (pin 187)))
- (net (code 362) (name "Net-(J301-Pad185)")
+ (net (code 405) (name "Net-(J301-Pad185)")
(node (ref J301) (pin 185)))
- (net (code 363) (name "Net-(J301-Pad181)")
+ (net (code 406) (name "Net-(J301-Pad184)")
+ (node (ref J301) (pin 184)))
+ (net (code 407) (name "Net-(J301-Pad182)")
+ (node (ref J301) (pin 182)))
+ (net (code 408) (name "Net-(J301-Pad181)")
(node (ref J301) (pin 181)))
- (net (code 364) (name "Net-(J301-Pad179)")
+ (net (code 409) (name "Net-(J301-Pad180)")
+ (node (ref J301) (pin 180)))
+ (net (code 410) (name "Net-(J301-Pad179)")
(node (ref J301) (pin 179)))
- (net (code 365) (name "Net-(J301-Pad175)")
+ (net (code 411) (name "Net-(J301-Pad175)")
(node (ref J301) (pin 175)))
- (net (code 366) (name "Net-(J301-Pad173)")
+ (net (code 412) (name "Net-(J301-Pad174)")
+ (node (ref J301) (pin 174)))
+ (net (code 413) (name "Net-(J301-Pad173)")
(node (ref J301) (pin 173)))
- (net (code 367) (name "Net-(J301-Pad171)")
+ (net (code 414) (name "Net-(J301-Pad172)")
+ (node (ref J301) (pin 172)))
+ (net (code 415) (name "Net-(J301-Pad171)")
(node (ref J301) (pin 171)))
- (net (code 368) (name "Net-(J301-Pad169)")
+ (net (code 416) (name "Net-(J301-Pad170)")
+ (node (ref J301) (pin 170)))
+ (net (code 417) (name "Net-(J301-Pad169)")
(node (ref J301) (pin 169)))
- (net (code 369) (name "Net-(J301-Pad167)")
+ (net (code 418) (name "Net-(J301-Pad167)")
(node (ref J301) (pin 167)))
- (net (code 370) (name "Net-(J301-Pad165)")
+ (net (code 419) (name "Net-(J301-Pad166)")
+ (node (ref J301) (pin 166)))
+ (net (code 420) (name "Net-(J301-Pad165)")
(node (ref J301) (pin 165)))
- (net (code 371) (name "Net-(J301-Pad163)")
+ (net (code 421) (name "Net-(J301-Pad164)")
+ (node (ref J301) (pin 164)))
+ (net (code 422) (name "Net-(J301-Pad163)")
(node (ref J301) (pin 163)))
- (net (code 372) (name "Net-(J301-Pad161)")
+ (net (code 423) (name "Net-(J301-Pad162)")
+ (node (ref J301) (pin 162)))
+ (net (code 424) (name "Net-(J301-Pad161)")
(node (ref J301) (pin 161)))
- (net (code 373) (name "Net-(J301-Pad157)")
+ (net (code 425) (name "Net-(J301-Pad160)")
+ (node (ref J301) (pin 160)))
+ (net (code 426) (name "Net-(J301-Pad158)")
+ (node (ref J301) (pin 158)))
+ (net (code 427) (name "Net-(J301-Pad157)")
(node (ref J301) (pin 157)))
- (net (code 374) (name "Net-(J301-Pad147)")
+ (net (code 428) (name "Net-(J301-Pad156)")
+ (node (ref J301) (pin 156)))
+ (net (code 429) (name "Net-(J301-Pad155)")
+ (node (ref J301) (pin 155)))
+ (net (code 430) (name "Net-(J301-Pad154)")
+ (node (ref J301) (pin 154)))
+ (net (code 431) (name "Net-(J301-Pad152)")
+ (node (ref J301) (pin 152)))
+ (net (code 432) (name "Net-(J301-Pad148)")
+ (node (ref J301) (pin 148)))
+ (net (code 433) (name "Net-(J301-Pad147)")
(node (ref J301) (pin 147)))
- (net (code 375) (name "Net-(J301-Pad145)")
+ (net (code 434) (name "Net-(J301-Pad146)")
+ (node (ref J301) (pin 146)))
+ (net (code 435) (name "Net-(J301-Pad145)")
(node (ref J301) (pin 145)))
- (net (code 376) (name "Net-(J301-Pad143)")
+ (net (code 436) (name "Net-(J301-Pad144)")
+ (node (ref J301) (pin 144)))
+ (net (code 437) (name "Net-(J301-Pad143)")
(node (ref J301) (pin 143)))
- (net (code 377) (name "Net-(J301-Pad139)")
+ (net (code 438) (name "Net-(J301-Pad142)")
+ (node (ref J301) (pin 142)))
+ (net (code 439) (name "Net-(J301-Pad140)")
+ (node (ref J301) (pin 140)))
+ (net (code 440) (name "Net-(J301-Pad139)")
(node (ref J301) (pin 139)))
- (net (code 378) (name "Net-(J301-Pad137)")
+ (net (code 441) (name "Net-(J301-Pad138)")
+ (node (ref J301) (pin 138)))
+ (net (code 442) (name "Net-(J301-Pad137)")
(node (ref J301) (pin 137)))
- (net (code 379) (name "Net-(J301-Pad133)")
+ (net (code 443) (name "Net-(J301-Pad136)")
+ (node (ref J301) (pin 136)))
+ (net (code 444) (name "Net-(J301-Pad134)")
+ (node (ref J301) (pin 134)))
+ (net (code 445) (name "Net-(J301-Pad133)")
(node (ref J301) (pin 133)))
- (net (code 380) (name "Net-(J301-Pad131)")
+ (net (code 446) (name "Net-(J301-Pad131)")
(node (ref J301) (pin 131)))
- (net (code 381) (name "Net-(J301-Pad127)")
+ (net (code 447) (name "Net-(J301-Pad130)")
+ (node (ref J301) (pin 130)))
+ (net (code 448) (name "Net-(J301-Pad128)")
+ (node (ref J301) (pin 128)))
+ (net (code 449) (name "Net-(J301-Pad127)")
(node (ref J301) (pin 127)))
- (net (code 382) (name "Net-(J301-Pad125)")
+ (net (code 450) (name "Net-(J301-Pad126)")
+ (node (ref J301) (pin 126)))
+ (net (code 451) (name "Net-(J301-Pad125)")
(node (ref J301) (pin 125)))
- (net (code 383) (name "Net-(J301-Pad121)")
+ (net (code 452) (name "Net-(J301-Pad124)")
+ (node (ref J301) (pin 124)))
+ (net (code 453) (name "Net-(J301-Pad122)")
+ (node (ref J301) (pin 122)))
+ (net (code 454) (name "Net-(J301-Pad121)")
(node (ref J301) (pin 121)))
- (net (code 384) (name "Net-(J301-Pad119)")
+ (net (code 455) (name "Net-(J301-Pad120)")
+ (node (ref J301) (pin 120)))
+ (net (code 456) (name "Net-(J301-Pad119)")
(node (ref J301) (pin 119)))
- (net (code 385) (name "Net-(J301-Pad117)")
+ (net (code 457) (name "Net-(J301-Pad118)")
+ (node (ref J301) (pin 118)))
+ (net (code 458) (name "Net-(J301-Pad117)")
(node (ref J301) (pin 117)))
- (net (code 386) (name "Net-(J301-Pad115)")
+ (net (code 459) (name "Net-(J301-Pad116)")
+ (node (ref J301) (pin 116)))
+ (net (code 460) (name "Net-(J301-Pad115)")
(node (ref J301) (pin 115)))
- (net (code 387) (name "Net-(J303-Pad35)")
- (node (ref J303) (pin 35)))
- (net (code 388) (name "Net-(J303-Pad37)")
- (node (ref J303) (pin 37)))
- (net (code 389) (name "Net-(J303-Pad38)")
- (node (ref J303) (pin 38)))
- (net (code 390) (name "Net-(J303-Pad39)")
- (node (ref J303) (pin 39)))
- (net (code 391) (name "Net-(J303-Pad40)")
- (node (ref J303) (pin 40)))
- (net (code 392) (name iMX8_GPIO5_IO28)
- (node (ref J301) (pin 5))
- (node (ref U304) (pin 25)))
- (net (code 393) (name "Net-(U302-Pad2)")
- (node (ref U302) (pin 2)))
- (net (code 394) (name /exp/LVDS_R0)
- (node (ref U302) (pin 27))
- (node (ref U301) (pin 38)))
- (net (code 395) (name iMX8_PWM1)
- (node (ref J301) (pin 75))
- (node (ref U302) (pin 29)))
- (net (code 396) (name "Net-(U302-Pad3)")
- (node (ref U302) (pin 3)))
- (net (code 397) (name iMX8_I2C1_SDA)
- (node (ref J301) (pin 135))
- (node (ref U302) (pin 32)))
- (net (code 398) (name iMX8_I2C1_SCL)
- (node (ref J301) (pin 129))
- (node (ref U302) (pin 34)))
- (net (code 399) (name iMX8_GPIO3_IO11)
- (node (ref U302) (pin 36))
- (node (ref J301) (pin 153)))
- (net (code 400) (name iMX8_GPIO3_IO12)
- (node (ref U302) (pin 38))
- (node (ref J301) (pin 151)))
- (net (code 401) (name "Net-(U302-Pad39)")
- (node (ref U302) (pin 39)))
- (net (code 402) (name "Net-(U302-Pad40)")
- (node (ref U302) (pin 40)))
- (net (code 403) (name "Net-(U302-Pad41)")
- (node (ref U302) (pin 41)))
- (net (code 404) (name "Net-(U302-Pad42)")
- (node (ref U302) (pin 42)))
- (net (code 405) (name iMX8_LVDS0_A0_N)
- (node (ref U301) (pin 25))
- (node (ref J301) (pin 41)))
- (net (code 406) (name iMX8_LVDS0_A0_P)
- (node (ref J301) (pin 39))
- (node (ref U301) (pin 26)))
- (net (code 407) (name iMX8_LVDS0_A1_N)
- (node (ref U301) (pin 27))
- (node (ref J301) (pin 47)))
- (net (code 408) (name iMX8_LVDS0_A1_P)
- (node (ref U301) (pin 28))
- (node (ref J301) (pin 45)))
- (net (code 409) (name iMX8_LVDS0_A2_N)
- (node (ref U301) (pin 29))
- (node (ref J301) (pin 53)))
- (net (code 410) (name iMX8_LVDS0_A2_P)
- (node (ref U301) (pin 30))
- (node (ref J301) (pin 51)))
- (net (code 411) (name iMX8_LVDS0_CLK_N)
- (node (ref U301) (pin 31))
- (node (ref J301) (pin 35)))
- (net (code 412) (name iMX8_LVDS0_CLK_P)
- (node (ref J301) (pin 33))
- (node (ref U301) (pin 32)))
- (net (code 413) (name iMX8_LVDS0_A3_N)
- (node (ref U301) (pin 33))
- (node (ref J301) (pin 59)))
- (net (code 414) (name iMX8_LVDS0_A3_P)
- (node (ref U301) (pin 34))
- (node (ref J301) (pin 57)))
- (net (code 415) (name /exp/LVDS_R1)
- (node (ref U302) (pin 25))
- (node (ref U301) (pin 39)))
- (net (code 416) (name /exp/LVDS_R2)
- (node (ref U302) (pin 23))
- (node (ref U301) (pin 40)))
- (net (code 417) (name /exp/LVDS_R3)
- (node (ref U302) (pin 21))
- (node (ref U301) (pin 42)))
- (net (code 418) (name /exp/LVDS_R4)
- (node (ref U302) (pin 19))
- (node (ref U301) (pin 46)))
- (net (code 419) (name iMX8_GPIO5_IO25)
- (node (ref J301) (pin 58))
- (node (ref U301) (pin 23)))
- (net (code 420) (name /exp/LVDS_CLKOUT)
- (node (ref U301) (pin 41))
- (node (ref U304) (pin 27)))
- (net (code 421) (name /exp/LVDS_DE)
- (node (ref U301) (pin 22))
- (node (ref U304) (pin 19)))
- (net (code 422) (name /exp/LVDS_VSYNC)
- (node (ref U304) (pin 21))
- (node (ref U301) (pin 21)))
- (net (code 423) (name /exp/LVDS_HSYNC)
- (node (ref U304) (pin 23))
- (node (ref U301) (pin 20)))
- (net (code 424) (name /exp/LVDS_B7)
- (node (ref U304) (pin 29))
- (node (ref U301) (pin 19)))
- (net (code 425) (name /exp/LVDS_B6)
- (node (ref U301) (pin 18))
- (node (ref U304) (pin 32)))
- (net (code 426) (name /exp/LVDS_B5)
- (node (ref U301) (pin 16))
- (node (ref U304) (pin 34)))
- (net (code 427) (name /exp/LVDS_B4)
- (node (ref U304) (pin 36))
- (node (ref U301) (pin 15)))
- (net (code 428) (name /exp/LVDS_B3)
- (node (ref U304) (pin 38))
- (node (ref U301) (pin 14)))
- (net (code 429) (name /exp/LVDS_B2)
- (node (ref U301) (pin 13))
- (node (ref U304) (pin 39)))
- (net (code 430) (name /exp/LVDS_B1)
- (node (ref U301) (pin 12))
- (node (ref U304) (pin 41)))
- (net (code 431) (name /exp/LVDS_B0)
- (node (ref U301) (pin 11))
- (node (ref U303) (pin 19)))
- (net (code 432) (name /exp/LVDS_G7)
- (node (ref U303) (pin 21))
- (node (ref U301) (pin 10)))
- (net (code 433) (name /exp/LVDS_G6)
- (node (ref U303) (pin 23))
- (node (ref U301) (pin 9)))
- (net (code 434) (name /exp/LVDS_G5)
- (node (ref U301) (pin 8))
- (node (ref U303) (pin 25)))
- (net (code 435) (name /exp/LVDS_G4)
- (node (ref U303) (pin 27))
- (node (ref U301) (pin 7)))
- (net (code 436) (name /exp/LVDS_G3)
- (node (ref U303) (pin 29))
- (node (ref U301) (pin 5)))
- (net (code 437) (name /exp/LVDS_G2)
- (node (ref U301) (pin 4))
- (node (ref U303) (pin 32)))
- (net (code 438) (name /exp/LVDS_G1)
- (node (ref U301) (pin 3))
- (node (ref U303) (pin 34)))
- (net (code 439) (name /exp/LVDS_G0)
- (node (ref U303) (pin 36))
- (node (ref U301) (pin 2)))
- (net (code 440) (name /exp/LVDS_R7)
- (node (ref U301) (pin 1))
- (node (ref U303) (pin 38)))
- (net (code 441) (name /exp/LVDS_R6)
- (node (ref U301) (pin 48))
- (node (ref U303) (pin 39)))
- (net (code 442) (name /exp/LVDS_R5)
- (node (ref U301) (pin 47))
- (node (ref U303) (pin 41)))
- (net (code 443) (name /exp/DISP_G1)
- (node (ref U303) (pin 6))
- (node (ref J303) (pin 14)))
- (net (code 444) (name /exp/DISP_G2)
- (node (ref U303) (pin 7))
- (node (ref J303) (pin 15)))
- (net (code 445) (name /exp/DISP_G3)
- (node (ref U303) (pin 11))
- (node (ref J303) (pin 16)))
- (net (code 446) (name /exp/DISP_G4)
- (node (ref J303) (pin 17))
- (node (ref U303) (pin 12)))
- (net (code 447) (name /exp/DISP_G5)
- (node (ref U303) (pin 13))
- (node (ref J303) (pin 18)))
- (net (code 448) (name /exp/DISP_G6)
- (node (ref U303) (pin 14))
- (node (ref J303) (pin 19)))
- (net (code 449) (name /exp/DISP_G7)
- (node (ref U303) (pin 15))
- (node (ref J303) (pin 20)))
- (net (code 450) (name /exp/DISP_G0)
- (node (ref U303) (pin 5))
- (node (ref J303) (pin 13)))
- (net (code 451) (name /exp/DISP_R0)
- (node (ref U302) (pin 12))
- (node (ref J303) (pin 5)))
- (net (code 452) (name /exp/DISP_R1)
- (node (ref U302) (pin 13))
- (node (ref J303) (pin 6)))
- (net (code 453) (name /exp/DISP_R2)
- (node (ref U302) (pin 14))
- (node (ref J303) (pin 7)))
- (net (code 454) (name /exp/DISP_R3)
- (node (ref J303) (pin 8))
- (node (ref U302) (pin 15)))
- (net (code 455) (name /exp/DISP_R4)
- (node (ref U302) (pin 16))
- (node (ref J303) (pin 9)))
- (net (code 456) (name /exp/DISP_R5)
- (node (ref U303) (pin 2))
- (node (ref J303) (pin 10)))
- (net (code 457) (name /exp/DISP_R6)
- (node (ref U303) (pin 3))
- (node (ref J303) (pin 11)))
- (net (code 458) (name /exp/DISP_R7)
- (node (ref U303) (pin 4))
- (node (ref J303) (pin 12)))
- (net (code 459) (name /exp/DISP_B0)
- (node (ref U303) (pin 16))
- (node (ref J303) (pin 21)))
- (net (code 460) (name /exp/DISP_B1)
- (node (ref J303) (pin 22))
- (node (ref U304) (pin 2)))
- (net (code 461) (name /exp/DISP_B2)
- (node (ref U304) (pin 3))
- (node (ref J303) (pin 23)))
- (net (code 462) (name /exp/DISP_B3)
- (node (ref J303) (pin 24))
- (node (ref U304) (pin 4)))
- (net (code 463) (name /exp/DISP_B4)
- (node (ref J303) (pin 25))
- (node (ref U304) (pin 5)))
- (net (code 464) (name /exp/DISP_B5)
- (node (ref J303) (pin 26))
- (node (ref U304) (pin 6)))
- (net (code 465) (name /exp/DISP_B6)
- (node (ref U304) (pin 7))
- (node (ref J303) (pin 27)))
- (net (code 466) (name /exp/DISP_B7)
- (node (ref J303) (pin 28))
- (node (ref U304) (pin 11)))
- (net (code 467) (name /exp/DISP_PCLK)
- (node (ref J303) (pin 30))
- (node (ref U304) (pin 12)))
- (net (code 468) (name /exp/DISP_EN)
- (node (ref J303) (pin 31))
- (node (ref U304) (pin 13)))
- (net (code 469) (name /exp/DISP_HSYNC)
- (node (ref J303) (pin 32))
- (node (ref U304) (pin 14)))
- (net (code 470) (name /exp/DISP_VSYNC)
- (node (ref J303) (pin 33))
- (node (ref U304) (pin 15)))
- (net (code 471) (name /exp/DISP_DE)
- (node (ref J303) (pin 34))
- (node (ref U304) (pin 16)))
- (net (code 472) (name "Net-(J301-Pad60)")
- (node (ref J301) (pin 60)))
- (net (code 473) (name "Net-(J301-Pad86)")
- (node (ref J301) (pin 86)))
- (net (code 474) (name "Net-(J301-Pad200)")
- (node (ref J301) (pin 200)))
- (net (code 475) (name "Net-(J301-Pad154)")
- (node (ref J301) (pin 154)))
- (net (code 476) (name "Net-(J301-Pad155)")
- (node (ref J301) (pin 155)))
- (net (code 477) (name /exp/CTP_SCL)
- (node (ref U302) (pin 6))
- (node (ref R303) (pin 2))
- (node (ref J302) (pin 1)))
- (net (code 478) (name /exp/CTP_SDA)
- (node (ref J302) (pin 2))
- (node (ref U302) (pin 7))
- (node (ref R302) (pin 2)))
- (net (code 479) (name /exp/CTP_RST)
- (node (ref J302) (pin 4))
- (node (ref U302) (pin 4))
- (node (ref R304) (pin 1)))
- (net (code 480) (name /exp/CTP_INT)
- (node (ref R301) (pin 2))
- (node (ref J302) (pin 5))
- (node (ref U302) (pin 5)))
- (net (code 481) (name iMX8_GPIO3_IO13)
- (node (ref J301) (pin 149))
- (node (ref U304) (pin 9))
- (node (ref U304) (pin 10))
- (node (ref U303) (pin 9))
- (node (ref U302) (pin 9))
- (node (ref U302) (pin 10))
- (node (ref U303) (pin 10))
- (node (ref R305) (pin 2)))
- (net (code 482) (name iMX8_GPIO2_IO18)
- (node (ref U301) (pin 37))
- (node (ref R306) (pin 1))
- (node (ref J301) (pin 90)))
- (net (code 483) (name iMX8_GPIO2_IO17)
- (node (ref J301) (pin 88))
- (node (ref U301) (pin 35))))) \ No newline at end of file
+ (net (code 461) (name "Net-(J301-Pad113)")
+ (node (ref J301) (pin 113)))
+ (net (code 462) (name "Net-(J301-Pad112)")
+ (node (ref J301) (pin 112)))
+ (net (code 463) (name "Net-(J301-Pad111)")
+ (node (ref J301) (pin 111)))
+ (net (code 464) (name "Net-(J301-Pad110)")
+ (node (ref J301) (pin 110)))
+ (net (code 465) (name "Net-(J301-Pad109)")
+ (node (ref J301) (pin 109)))
+ (net (code 466) (name "Net-(J301-Pad108)")
+ (node (ref J301) (pin 108)))
+ (net (code 467) (name "Net-(J301-Pad107)")
+ (node (ref J301) (pin 107)))
+ (net (code 468) (name "Net-(J301-Pad106)")
+ (node (ref J301) (pin 106)))
+ (net (code 469) (name "Net-(J301-Pad104)")
+ (node (ref J301) (pin 104)))
+ (net (code 470) (name "Net-(J301-Pad103)")
+ (node (ref J301) (pin 103)))
+ (net (code 471) (name "Net-(J301-Pad102)")
+ (node (ref J301) (pin 102)))
+ (net (code 472) (name "Net-(J301-Pad101)")
+ (node (ref J301) (pin 101)))
+ (net (code 473) (name "Net-(J301-Pad100)")
+ (node (ref J301) (pin 100))))) \ No newline at end of file