summaryrefslogtreecommitdiff
path: root/hw/rvPhone/rvPhone.net
diff options
context:
space:
mode:
authorUros Majstorovic <majstor@majstor.org>2021-10-01 04:16:49 +0200
committerUros Majstorovic <majstor@majstor.org>2021-10-01 04:16:49 +0200
commit5ae72474e3116df141c5700902e54e516b68e6e0 (patch)
treeb0e1852f2bab908370639d02a6c531980dfd3d23 /hw/rvPhone/rvPhone.net
parent498fb8b691aaa62ec12b7326361140321c1a8220 (diff)
HW REV 3.0
Diffstat (limited to 'hw/rvPhone/rvPhone.net')
-rw-r--r--hw/rvPhone/rvPhone.net2967
1 files changed, 1587 insertions, 1380 deletions
diff --git a/hw/rvPhone/rvPhone.net b/hw/rvPhone/rvPhone.net
index e24d155..f60c968 100644
--- a/hw/rvPhone/rvPhone.net
+++ b/hw/rvPhone/rvPhone.net
@@ -1,7 +1,7 @@
(export (version D)
(design
(source /Volumes/rvPhone/repo/hw/rvPhone/rvPhone.sch)
- (date "Tuesday, August 24, 2021 at 08:50:03 PM")
+ (date "Tuesday, September 28, 2021 at 11:16:31 AM")
(tool "Eeschema (5.1.9-0-10_14)")
(sheet (number 1) (name /) (tstamps /)
(title_block
@@ -48,7 +48,7 @@
(comment (number 3) (value ""))
(comment (number 4) (value "")))))
(components
- (comp (ref C7)
+ (comp (ref C8)
(value 10uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
@@ -60,7 +60,7 @@
(libsource (lib Device) (part L) (description Inductor))
(sheetpath (names /) (tstamps /))
(tstamp 5B734556))
- (comp (ref C8)
+ (comp (ref C9)
(value 10uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
@@ -96,19 +96,19 @@
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5BF826EE))
- (comp (ref C4)
+ (comp (ref C1)
(value 1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5BF8302F))
- (comp (ref R3)
+ (comp (ref R4)
(value 2.2K)
(footprint Resistor_SMD:R_0603_1608Metric)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5BF840C6))
- (comp (ref D1)
+ (comp (ref D2)
(value LED)
(footprint LED_SMD:LED_0603_1608Metric)
(libsource (lib Device) (part LED) (description "Light emitting diode"))
@@ -116,41 +116,41 @@
(tstamp 5BF84646))
(comp (ref L1)
(value 2.2uH)
- (footprint Inductor_SMD:L_Vishay_IHLP-1616)
+ (footprint Inductor_SMD:L_Vishay_IHLP-2525)
(libsource (lib Device) (part L) (description Inductor))
(sheetpath (names /) (tstamps /))
(tstamp 5BF8F569))
- (comp (ref C1)
+ (comp (ref C2)
(value 47nF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5BF8FD5D))
- (comp (ref C5)
+ (comp (ref C3)
(value 4.7uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5BF90BEF))
(comp (ref R2)
- (value 10K)
+ (value 5.23K)
(footprint Resistor_SMD:R_0603_1608Metric)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5BF91E90))
- (comp (ref R4)
- (value 10K)
+ (comp (ref R3)
+ (value 30.1K)
(footprint Resistor_SMD:R_0603_1608Metric)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5BF93598))
- (comp (ref C2)
+ (comp (ref C4)
(value 10uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5BF93D9E))
- (comp (ref C3)
+ (comp (ref C5)
(value 10uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
@@ -168,19 +168,19 @@
(libsource (lib Device) (part L) (description Inductor))
(sheetpath (names /) (tstamps /))
(tstamp 5BF9199B))
- (comp (ref C10)
+ (comp (ref C11)
(value 10uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5BF929B4))
- (comp (ref C11)
+ (comp (ref C12)
(value 47uF)
(footprint Capacitor_SMD:C_0805_2012Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5BF92B7C))
- (comp (ref C9)
+ (comp (ref C10)
(value 10uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
@@ -192,7 +192,7 @@
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5C1099A1))
- (comp (ref R32)
+ (comp (ref R31)
(value 50K)
(footprint Resistor_SMD:R_0603_1608Metric)
(libsource (lib Device) (part R) (description Resistor))
@@ -204,7 +204,7 @@
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5C36A8BA))
- (comp (ref R33)
+ (comp (ref R32)
(value 50K)
(footprint Resistor_SMD:R_0603_1608Metric)
(libsource (lib Device) (part R) (description Resistor))
@@ -248,47 +248,47 @@
(libsource (lib Connector_Generic) (part Conn_01x02) (description "Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)"))
(sheetpath (names /) (tstamps /))
(tstamp 5CE1BD9A))
- (comp (ref C43)
+ (comp (ref C51)
(value 22uF)
(footprint Capacitor_SMD:C_0805_2012Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5DD32F8E))
- (comp (ref C44)
+ (comp (ref C52)
(value 22uF)
(footprint Capacitor_SMD:C_0805_2012Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5DD3726C))
- (comp (ref R15)
+ (comp (ref R16)
(value 100K)
(footprint Resistor_SMD:R_0603_1608Metric)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5B79FA0E))
- (comp (ref C28)
+ (comp (ref C29)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5E18AA2D))
- (comp (ref R16)
+ (comp (ref R15)
(value 100K)
(footprint Resistor_SMD:R_0603_1608Metric)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5B7D55AC))
- (comp (ref C41)
+ (comp (ref C44)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 60140109))
- (comp (ref C40)
+ (comp (ref C43)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
@@ -302,7 +302,7 @@
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 61D66A90))
- (comp (ref U8)
+ (comp (ref U9)
(value 74HC00)
(footprint footprints:DHVQFN-14_2.5x3.0mm_P0.5mm_EP1.0x1.5mm)
(datasheet http://www.ti.com/lit/gpn/sn74hc00)
@@ -321,25 +321,13 @@
(libsource (lib tps) (part TPS630250) (description ""))
(sheetpath (names /) (tstamps /))
(tstamp 619F3399))
- (comp (ref U6)
+ (comp (ref U7)
(value TMUX1574RSV)
(footprint footprints:UQFN-16_1.8x2.6mm_P0.4mm)
(libsource (lib tmux) (part TMUX1574RSV) (description ""))
(sheetpath (names /) (tstamps /))
(tstamp 62085FE5))
- (comp (ref U15)
- (value SN74LVC1G3157DCK)
- (footprint Package_TO_SOT_SMD:Texas_R-PDSO-G6)
- (libsource (lib sn74) (part SN74LVC1G3157DCK) (description ""))
- (sheetpath (names /) (tstamps /))
- (tstamp 5FE56889))
- (comp (ref U14)
- (value SN74LVC1G04DCK)
- (footprint footprints:Texas_R-PDSO-G5)
- (libsource (lib sn74) (part SN74LVC1G04DCK) (description ""))
- (sheetpath (names /) (tstamps /))
- (tstamp 5FEACE73))
- (comp (ref C42)
+ (comp (ref C50)
(value 10uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
@@ -352,13 +340,6 @@
(libsource (lib bq) (part BQ25895) (description ""))
(sheetpath (names /) (tstamps /))
(tstamp 617FF244))
- (comp (ref J5)
- (value SPEAKER)
- (footprint Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Vertical)
- (datasheet ~)
- (libsource (lib Connector_Generic) (part Conn_01x04) (description "Generic connector, single row, 01x04, script generated (kicad-library-utils/schlib/autogen/connector/)"))
- (sheetpath (names /) (tstamps /))
- (tstamp 603C4198))
(comp (ref J3)
(value +5V)
(footprint Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical)
@@ -373,14 +354,14 @@
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 6033C803))
- (comp (ref C45)
+ (comp (ref C28)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
(sheetpath (names /) (tstamps /))
(tstamp 60336FA3))
- (comp (ref U16)
+ (comp (ref U6)
(value SN74LVC2G07DCK)
(footprint Package_TO_SOT_SMD:Texas_R-PDSO-G6)
(libsource (lib sn74) (part SN74LVC2G07DCK) (description ""))
@@ -431,13 +412,13 @@
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5C98E0E3))
- (comp (ref C13)
+ (comp (ref C14)
(value 10nF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
(sheetpath (names /) (tstamps /))
(tstamp 5BE30796))
- (comp (ref C12)
+ (comp (ref C13)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
@@ -539,7 +520,7 @@
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
(sheetpath (names /) (tstamps /))
(tstamp 5BE3076D))
- (comp (ref U13)
+ (comp (ref U14)
(value MAX98357A)
(footprint footprints:TQFN-16-1EP_3x3mm_P0.5mm_EP1.23x1.23mm_ThermalVias)
(libsource (lib max) (part MAX98357) (description ""))
@@ -565,14 +546,14 @@
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 6127237F))
- (comp (ref C38)
+ (comp (ref C41)
(value 10uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 6108DADE))
- (comp (ref C39)
+ (comp (ref C42)
(value 10uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
@@ -580,113 +561,99 @@
(sheetpath (names /) (tstamps /))
(tstamp 6108BD1C))
(comp (ref R27)
- (value 255K)
+ (value 210K)
(footprint Resistor_SMD:R_0603_1608Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 607FF04C))
- (comp (ref C36)
+ (comp (ref C37)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 604CD9EE))
- (comp (ref C37)
+ (comp (ref C38)
(value 10uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 604CD9E4))
- (comp (ref U7)
+ (comp (ref U8)
(value 74HC00)
(footprint footprints:DHVQFN-14_2.5x3.0mm_P0.5mm_EP1.0x1.5mm)
(datasheet http://www.ti.com/lit/gpn/sn74hc00)
(libsource (lib 74xx-ep) (part 74HC00) (description "quad 2-input NAND gate"))
(sheetpath (names /) (tstamps /))
(tstamp 5DF6F6B5))
- (comp (ref U10)
+ (comp (ref U11)
(value 74HC595)
(footprint footprints:DHVQFN-16_2.5x3.5mm_P0.5mm_EP1.0x2.0mm)
(datasheet http://www.ti.com/lit/ds/symlink/sn74hc595.pdf)
(libsource (lib 74xx-ep) (part 74HC595) (description "8-bit serial in/out Shift Register 3-State Outputs"))
(sheetpath (names /) (tstamps /))
(tstamp 5CCEC0AE))
- (comp (ref C34)
+ (comp (ref C35)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5C12F0FD))
- (comp (ref C35)
+ (comp (ref C36)
(value 10uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5C12EC7F))
- (comp (ref C30)
+ (comp (ref C31)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5B880184))
- (comp (ref C29)
+ (comp (ref C30)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5AEE45AF))
- (comp (ref C32)
+ (comp (ref C33)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5AEB0E0E))
- (comp (ref U12)
+ (comp (ref U13)
(value MAX98357A)
(footprint footprints:TQFN-16-1EP_3x3mm_P0.5mm_EP1.23x1.23mm_ThermalVias)
(libsource (lib max) (part MAX98357) (description ""))
(sheetpath (names /) (tstamps /))
(tstamp 6157FD5C))
- (comp (ref U11)
+ (comp (ref U12)
(value ICS-43434)
(footprint footprints:ICS-43434)
(libsource (lib ics) (part ICS-43434) (description ""))
(sheetpath (names /) (tstamps /))
(tstamp 6142487D))
- (comp (ref R26)
- (value 2.2K)
- (footprint Resistor_SMD:R_0603_1608Metric)
- (datasheet ~)
- (libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /) (tstamps /))
- (tstamp 60534525))
- (comp (ref U9)
+ (comp (ref U10)
(value 74HC595)
(footprint footprints:DHVQFN-16_2.5x3.5mm_P0.5mm_EP1.0x2.0mm)
(datasheet http://www.ti.com/lit/ds/symlink/sn74hc595.pdf)
(libsource (lib 74xx-ep) (part 74HC595) (description "8-bit serial in/out Shift Register 3-State Outputs"))
(sheetpath (names /) (tstamps /))
(tstamp 5D06E6A9))
- (comp (ref C33)
+ (comp (ref C34)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5C10F095))
- (comp (ref C31)
+ (comp (ref C32)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5AEB119C))
- (comp (ref TP1)
- (value BQ_INT)
- (footprint TestPoint:TestPoint_Pad_D1.5mm)
- (datasheet ~)
- (libsource (lib Connector) (part TestPoint) (description "test point"))
- (sheetpath (names /) (tstamps /))
- (tstamp 6028E702))
(comp (ref U4)
(value FE310-G002)
(footprint Package_DFN_QFN:QFN-48-1EP_6x6mm_P0.4mm_EP4.2x4.2mm_ThermalVias)
@@ -714,7 +681,7 @@
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 60D1C743))
- (comp (ref R31)
+ (comp (ref R26)
(value 100K)
(footprint Resistor_SMD:R_0603_1608Metric)
(datasheet ~)
@@ -728,13 +695,114 @@
(libsource (lib Connector) (part TestPoint) (description "test point"))
(sheetpath (names /) (tstamps /))
(tstamp 62007591))
- (comp (ref C14)
+ (comp (ref C55)
(value 22uF)
(footprint Capacitor_SMD:C_0805_2012Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 61166EC3))
+ (comp (ref U15)
+ (value MAX14689A)
+ (footprint footprints:TDFN-10-1EP_2x2.5mm_P0.4mm_EP1.3x1.4mm_ThermalVias)
+ (libsource (lib max) (part MAX14689A) (description ""))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 6192C97C))
+ (comp (ref C39)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 6192EF46))
+ (comp (ref U16)
+ (value MAX14689A)
+ (footprint footprints:TDFN-10-1EP_2x2.5mm_P0.4mm_EP1.3x1.4mm_ThermalVias)
+ (libsource (lib max) (part MAX14689A) (description ""))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 62FA295F))
+ (comp (ref C40)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 62FA2969))
+ (comp (ref D1)
+ (value B5817WS)
+ (footprint footprints:D_SOD-323)
+ (datasheet ~)
+ (libsource (lib Device) (part D_Schottky) (description "Schottky diode"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 61539379))
+ (comp (ref C53)
+ (value 10uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 61D01B03))
+ (comp (ref C54)
+ (value 10uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 61D03720))
+ (comp (ref C7)
+ (value 10uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 627002E0))
+ (comp (ref J5)
+ (value SPEAKER)
+ (footprint Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Vertical)
+ (datasheet ~)
+ (libsource (lib Connector_Generic) (part Conn_01x04) (description "Generic connector, single row, 01x04, script generated (kicad-library-utils/schlib/autogen/connector/)"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 603C4198))
+ (comp (ref J6)
+ (value "Ext Audio")
+ (footprint Connector_Molex:Molex_SlimStack_54722-0164_2x08_P0.50mm_Vertical)
+ (datasheet ~)
+ (libsource (lib Connector_Generic) (part Conn_02x08_Odd_Even) (description "Generic connector, double row, 02x08, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 6222F227))
+ (comp (ref U17)
+ (value SN74LVC1G04DCK)
+ (footprint footprints:Texas_R-PDSO-G5)
+ (libsource (lib sn74) (part SN74LVC1G04DCK) (description ""))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 5FEACE73))
+ (comp (ref U19)
+ (value 74LVC1G02)
+ (footprint footprints:Texas_R-PDSO-G5)
+ (datasheet http://www.ti.com/lit/sg/scyt129e/scyt129e.pdf)
+ (libsource (lib 74xGxx) (part 74LVC1G02) (description "Single NOR Gate, Low-Voltage CMOS"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 638B92B5))
+ (comp (ref C45)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 645654C5))
+ (comp (ref JP1)
+ (value "AUDIO PWR")
+ (footprint Jumper:SolderJumper-3_P1.3mm_Open_RoundedPad1.0x1.5mm)
+ (datasheet ~)
+ (libsource (lib Jumper) (part SolderJumper_3_Open) (description "Solder Jumper, 3-pole, open"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 652C1A3A))
+ (comp (ref U18)
+ (value TMUX1119DCK)
+ (footprint Package_TO_SOT_SMD:Texas_R-PDSO-G6)
+ (libsource (lib tmux) (part TMUX1119DCK) (description ""))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 617E984C))
(comp (ref C201)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
@@ -1053,13 +1121,6 @@
(libsource (lib Connector_Generic) (part Conn_01x12) (description "Generic connector, single row, 01x12, script generated (kicad-library-utils/schlib/autogen/connector/)"))
(sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 60922A25))
- (comp (ref J206)
- (value Expansion)
- (footprint Connector_Molex:Molex_SlimStack_54722-0164_2x08_P0.50mm_Vertical)
- (datasheet ~)
- (libsource (lib Connector_Generic) (part Conn_02x08_Odd_Even) (description "Generic connector, double row, 02x08, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)"))
- (sheetpath (names /periph/) (tstamps /619DBCF0/))
- (tstamp 60922A40))
(comp (ref J207)
(value Camera)
(footprint Connector_Molex:Molex_SlimStack_54722-0164_2x08_P0.50mm_Vertical)
@@ -1142,6 +1203,13 @@
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 62863E5F))
+ (comp (ref J206)
+ (value Expansion)
+ (footprint Connector_Molex:Molex_SlimStack_54722-0164_2x08_P0.50mm_Vertical)
+ (datasheet ~)
+ (libsource (lib Connector_Generic) (part Conn_02x08_Odd_Even) (description "Generic connector, double row, 02x08, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)"))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
+ (tstamp 60922A40))
(comp (ref C301)
(value 4.7uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
@@ -1312,13 +1380,6 @@
(libsource (lib Jumper) (part SolderJumper_2_Open) (description "Solder Jumper, 2-pole, open"))
(sheetpath (names /exp/) (tstamps /61A17BF3/))
(tstamp 6113749F))
- (comp (ref J303)
- (value Spacer)
- (footprint footprints:Spacer)
- (datasheet ~)
- (libsource (lib myConn) (part Spacer) (description "Generic connector, single row, 01x01, script generated (kicad-library-utils/schlib/autogen/connector/)"))
- (sheetpath (names /exp/) (tstamps /61A17BF3/))
- (tstamp 60E13E30))
(comp (ref SW303)
(value iMX8_PWR)
(footprint Button_Switch_SMD:SW_SPST_PTS810)
@@ -1326,6 +1387,13 @@
(libsource (lib Switch) (part SW_Push) (description "Push button switch, generic, two pins"))
(sheetpath (names /exp/) (tstamps /61A17BF3/))
(tstamp 61F96FAB))
+ (comp (ref J303)
+ (value Console)
+ (footprint Connector_FFC-FPC:Hirose_FH12-6S-0.5SH_1x06-1MP_P0.50mm_Horizontal)
+ (datasheet ~)
+ (libsource (lib Connector_Generic) (part Conn_01x06) (description "Generic connector, single row, 01x06, script generated (kicad-library-utils/schlib/autogen/connector/)"))
+ (sheetpath (names /exp/) (tstamps /61A17BF3/))
+ (tstamp 61AE2880))
(comp (ref C427)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
@@ -1669,13 +1737,6 @@
(libsource (lib ds90) (part DS90C185) (description ""))
(sheetpath (names /disp/) (tstamps /5FC4BBBD/))
(tstamp 61009BFD))
- (comp (ref TP401)
- (value AUDIO)
- (footprint TestPoint:TestPoint_Pad_D1.5mm)
- (datasheet ~)
- (libsource (lib Connector) (part TestPoint) (description "test point"))
- (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
- (tstamp 6100EDA6))
(comp (ref U403)
(value BT817Q)
(footprint Package_DFN_QFN:QFN-64-1EP_9x9mm_P0.5mm_EP6x6mm_ThermalVias)
@@ -1723,6 +1784,26 @@
(sheetpath (names /disp/) (tstamps /5FC4BBBD/))
(tstamp 6135689D)))
(libparts
+ (libpart (lib 74xGxx) (part 74LVC1G02)
+ (aliases
+ (alias 74AHC1G02)
+ (alias 74AHCT1G02)
+ (alias 74AUC1G02)
+ (alias 74AUP1G02))
+ (description "Single NOR Gate, Low-Voltage CMOS")
+ (docs http://www.ti.com/lit/sg/scyt129e/scyt129e.pdf)
+ (footprints
+ (fp SOT*)
+ (fp SG-*))
+ (fields
+ (field (name Reference) U)
+ (field (name Value) 74LVC1G02))
+ (pins
+ (pin (num 1) (name ~) (type input))
+ (pin (num 2) (name ~) (type input))
+ (pin (num 3) (name GND) (type power_in))
+ (pin (num 4) (name ~) (type output))
+ (pin (num 5) (name VCC) (type power_in))))
(libpart (lib 74xx-ep) (part 74HC595)
(aliases
(alias 74LS595)
@@ -1876,6 +1957,21 @@
(pin (num 2) (name Pin_2) (type passive))
(pin (num 3) (name Pin_3) (type passive))
(pin (num 4) (name Pin_4) (type passive))))
+ (libpart (lib Connector_Generic) (part Conn_01x06)
+ (description "Generic connector, single row, 01x06, script generated (kicad-library-utils/schlib/autogen/connector/)")
+ (docs ~)
+ (footprints
+ (fp Connector*:*_1x??_*))
+ (fields
+ (field (name Reference) J)
+ (field (name Value) Conn_01x06))
+ (pins
+ (pin (num 1) (name Pin_1) (type passive))
+ (pin (num 2) (name Pin_2) (type passive))
+ (pin (num 3) (name Pin_3) (type passive))
+ (pin (num 4) (name Pin_4) (type passive))
+ (pin (num 5) (name Pin_5) (type passive))
+ (pin (num 6) (name Pin_6) (type passive))))
(libpart (lib Connector_Generic) (part Conn_01x12)
(description "Generic connector, single row, 01x12, script generated (kicad-library-utils/schlib/autogen/connector/)")
(docs ~)
@@ -2112,6 +2208,18 @@
(pins
(pin (num 1) (name A) (type passive))
(pin (num 2) (name B) (type passive))))
+ (libpart (lib Jumper) (part SolderJumper_3_Open)
+ (description "Solder Jumper, 3-pole, open")
+ (docs ~)
+ (footprints
+ (fp SolderJumper*Open*))
+ (fields
+ (field (name Reference) JP)
+ (field (name Value) SolderJumper_3_Open))
+ (pins
+ (pin (num 1) (name A) (type passive))
+ (pin (num 2) (name C) (type input))
+ (pin (num 3) (name B) (type passive))))
(libpart (lib Logic_LevelTranslator) (part TXB0104RGY)
(description "4-Bit Bidirectional Voltage-Level Translator, Auto Direction Sensing and ±15-kV ESD Protection, 1.2 - 3.6V APort, 1.65 - 5.5V BPort, Texas_PVGFN-14")
(docs http://www.ti.com/lit/ds/symlink/txb0104.pdf)
@@ -2439,6 +2547,22 @@
(pin (num 7) (name HOLD#/IO3) (type BiDi))
(pin (num 8) (name VCC) (type power_in))
(pin (num 9) (name EP) (type power_in))))
+ (libpart (lib max) (part MAX14689A)
+ (fields
+ (field (name Reference) U)
+ (field (name Value) MAX14689A))
+ (pins
+ (pin (num 1) (name NO1) (type BiDi))
+ (pin (num 2) (name VCC) (type power_in))
+ (pin (num 3) (name COM1) (type BiDi))
+ (pin (num 4) (name GND) (type power_in))
+ (pin (num 5) (name NC1) (type BiDi))
+ (pin (num 6) (name NC2) (type BiDi))
+ (pin (num 7) (name CB) (type input))
+ (pin (num 8) (name COM2) (type BiDi))
+ (pin (num 9) (name GND) (type power_in))
+ (pin (num 10) (name NO2) (type BiDi))
+ (pin (num 11) (name EP) (type power_in))))
(libpart (lib max) (part MAX98357)
(fields
(field (name Reference) U)
@@ -2806,19 +2930,19 @@
(field (name Reference) U)
(field (name Value) SN74CBTLV3125RGY))
(pins
- (pin (num 1) (name /1OE) (type input))
+ (pin (num 1) (name ~1OE) (type input))
(pin (num 2) (name 1A) (type passive))
(pin (num 3) (name 1B) (type passive))
- (pin (num 4) (name /2OE) (type input))
+ (pin (num 4) (name ~2OE) (type input))
(pin (num 5) (name 2A) (type passive))
(pin (num 6) (name 2B) (type passive))
(pin (num 7) (name GND) (type power_in))
(pin (num 8) (name 3B) (type passive))
(pin (num 9) (name 3A) (type passive))
- (pin (num 10) (name /3OE) (type input))
+ (pin (num 10) (name ~3OE) (type input))
(pin (num 11) (name 4B) (type passive))
(pin (num 12) (name 4A) (type passive))
- (pin (num 13) (name /4OE) (type input))
+ (pin (num 13) (name ~4OE) (type input))
(pin (num 14) (name VCC) (type power_in))
(pin (num 15) (name EP) (type power_in))))
(libpart (lib sn74) (part SN74LVC1G04DCK)
@@ -2831,17 +2955,6 @@
(pin (num 3) (name GND) (type power_in))
(pin (num 4) (name Y) (type output))
(pin (num 5) (name VCC) (type power_in))))
- (libpart (lib sn74) (part SN74LVC1G3157DCK)
- (fields
- (field (name Reference) U)
- (field (name Value) SN74LVC1G3157DCK))
- (pins
- (pin (num 1) (name B2) (type BiDi))
- (pin (num 2) (name GND) (type power_in))
- (pin (num 3) (name B1) (type BiDi))
- (pin (num 4) (name A) (type BiDi))
- (pin (num 5) (name VCC) (type power_in))
- (pin (num 6) (name S) (type input))))
(libpart (lib sn74) (part SN74LVC2G07DCK)
(fields
(field (name Reference) U)
@@ -2897,6 +3010,17 @@
(pin (num 22) (name VDD) (type power_in))
(pin (num 23) (name VDD) (type power_in))
(pin (num 24) (name C1) (type passive))))
+ (libpart (lib tmux) (part TMUX1119DCK)
+ (fields
+ (field (name Reference) U)
+ (field (name Value) TMUX1119DCK))
+ (pins
+ (pin (num 1) (name SEL) (type input))
+ (pin (num 2) (name VCC) (type power_in))
+ (pin (num 3) (name GND) (type power_in))
+ (pin (num 4) (name S1) (type BiDi))
+ (pin (num 5) (name D) (type BiDi))
+ (pin (num 6) (name S2) (type BiDi))))
(libpart (lib tmux) (part TMUX154ERSW)
(fields
(field (name Reference) U)
@@ -2909,7 +3033,7 @@
(pin (num 5) (name B) (type BiDi))
(pin (num 6) (name B1) (type BiDi))
(pin (num 7) (name B0) (type BiDi))
- (pin (num 8) (name /EN) (type input))
+ (pin (num 8) (name ~EN) (type input))
(pin (num 9) (name VCC) (type power_in))
(pin (num 10) (name SEL) (type input))))
(libpart (lib tmux) (part TMUX1574RSV)
@@ -2929,7 +3053,7 @@
(pin (num 10) (name D4) (type BiDi))
(pin (num 11) (name S4B) (type BiDi))
(pin (num 12) (name S4A) (type BiDi))
- (pin (num 13) (name /EN) (type input))
+ (pin (num 13) (name ~EN) (type input))
(pin (num 14) (name VCC) (type power_in))
(pin (num 15) (name SEL) (type input))
(pin (num 16) (name S1A) (type BiDi))))
@@ -3013,6 +3137,8 @@
(pin (num 42) (name NC) (type NotConnected))
(pin (num 43) (name GND) (type power_in)))))
(libraries
+ (library (logical 74xGxx)
+ (uri "/Library/Application Support/kicad/library/74xGxx.lib"))
(library (logical 74xx-ep)
(uri /Volumes/rvPhone/repo/hw/rvPhone/../library/74xx-ep.lib))
(library (logical Connector)
@@ -3068,1838 +3194,1916 @@
(node (ref L2) (pin 1))
(node (ref U2) (pin 1)))
(net (code 2) (name /MIC_CLK)
- (node (ref U7) (pin 1))
- (node (ref U6) (pin 11))
- (node (ref U11) (pin 4))
+ (node (ref U12) (pin 4))
+ (node (ref U9) (pin 12))
+ (node (ref U9) (pin 13))
+ (node (ref U7) (pin 11))
(node (ref R18) (pin 2))
- (node (ref U8) (pin 13))
- (node (ref U8) (pin 12)))
+ (node (ref U8) (pin 1)))
(net (code 3) (name GPIO_18)
+ (node (ref U8) (pin 5))
(node (ref U4) (pin 40))
- (node (ref U7) (pin 12))
- (node (ref U7) (pin 13))
- (node (ref U7) (pin 5))
+ (node (ref U8) (pin 13))
+ (node (ref U8) (pin 12))
(node (ref R21) (pin 2)))
(net (code 4) (name +3V3)
- (node (ref U207) (pin 1))
- (node (ref U207) (pin 10))
- (node (ref U404) (pin 8))
(node (ref R411) (pin 1))
- (node (ref C10) (pin 1))
- (node (ref C11) (pin 1))
- (node (ref C420) (pin 1))
- (node (ref R409) (pin 1))
- (node (ref R410) (pin 1))
- (node (ref U207) (pin 8))
- (node (ref R406) (pin 1))
- (node (ref C434) (pin 1))
(node (ref R408) (pin 1))
(node (ref R407) (pin 1))
- (node (ref U207) (pin 9))
(node (ref C412) (pin 1))
(node (ref C413) (pin 1))
(node (ref C411) (pin 1))
- (node (ref U403) (pin 17))
- (node (ref U403) (pin 24))
- (node (ref U403) (pin 27))
- (node (ref C229) (pin 1))
+ (node (ref C11) (pin 1))
(node (ref C12) (pin 1))
- (node (ref U403) (pin 9))
- (node (ref J302) (pin 4))
- (node (ref R8) (pin 1))
- (node (ref U406) (pin 1))
- (node (ref U406) (pin 13))
- (node (ref R11) (pin 2))
+ (node (ref U404) (pin 8))
(node (ref C433) (pin 1))
(node (ref C432) (pin 1))
(node (ref C431) (pin 1))
- (node (ref U406) (pin 22))
(node (ref C430) (pin 1))
- (node (ref R5) (pin 1))
(node (ref C429) (pin 1))
(node (ref C428) (pin 1))
(node (ref C427) (pin 1))
- (node (ref U406) (pin 31))
- (node (ref R13) (pin 1))
- (node (ref R14) (pin 1))
- (node (ref U406) (pin 4))
- (node (ref U406) (pin 40))
- (node (ref U406) (pin 7))
- (node (ref U205) (pin 10))
- (node (ref U205) (pin 5))
- (node (ref J401) (pin 1))
- (node (ref U205) (pin 6))
- (node (ref C228) (pin 1))
(node (ref J204) (pin 4))
+ (node (ref C434) (pin 1))
+ (node (ref J206) (pin 1))
+ (node (ref J206) (pin 3))
(node (ref C201) (pin 1))
(node (ref C202) (pin 1))
(node (ref R201) (pin 1))
- (node (ref SW301) (pin 2))
(node (ref R202) (pin 1))
(node (ref C207) (pin 1))
(node (ref C221) (pin 2))
- (node (ref R10) (pin 2))
- (node (ref U407) (pin 14))
- (node (ref JP301) (pin 1))
- (node (ref R24) (pin 1))
- (node (ref R23) (pin 1))
- (node (ref C320) (pin 1))
- (node (ref U4) (pin 47))
- (node (ref C28) (pin 1))
- (node (ref U4) (pin 32))
+ (node (ref U403) (pin 17))
+ (node (ref U403) (pin 24))
+ (node (ref U403) (pin 27))
+ (node (ref U403) (pin 9))
+ (node (ref U406) (pin 1))
+ (node (ref U406) (pin 13))
+ (node (ref U406) (pin 22))
+ (node (ref U406) (pin 31))
+ (node (ref R5) (pin 1))
+ (node (ref U406) (pin 4))
+ (node (ref U406) (pin 40))
+ (node (ref U406) (pin 7))
+ (node (ref R13) (pin 1))
+ (node (ref R14) (pin 1))
+ (node (ref J401) (pin 1))
(node (ref C205) (pin 1))
- (node (ref U4) (pin 23))
- (node (ref U4) (pin 19))
- (node (ref U4) (pin 12))
- (node (ref U4) (pin 11))
(node (ref C204) (pin 1))
(node (ref R203) (pin 1))
- (node (ref C31) (pin 1))
- (node (ref C33) (pin 1))
- (node (ref C40) (pin 1))
- (node (ref R30) (pin 2))
(node (ref C213) (pin 1))
- (node (ref C223) (pin 1))
(node (ref C212) (pin 1))
- (node (ref U9) (pin 16))
- (node (ref U9) (pin 13))
- (node (ref U9) (pin 10))
(node (ref C210) (pin 1))
(node (ref C211) (pin 1))
- (node (ref U3) (pin 12))
- (node (ref U3) (pin 13))
- (node (ref U3) (pin 14))
- (node (ref U206) (pin 1))
- (node (ref U6) (pin 14))
(node (ref C209) (pin 1))
- (node (ref R17) (pin 1))
- (node (ref U206) (pin 22))
- (node (ref U206) (pin 23))
- (node (ref U206) (pin 3))
- (node (ref U206) (pin 5))
- (node (ref U206) (pin 6))
- (node (ref U206) (pin 7))
- (node (ref U206) (pin 8))
- (node (ref C231) (pin 1))
- (node (ref U14) (pin 5))
- (node (ref U11) (pin 5))
- (node (ref C32) (pin 1))
+ (node (ref U407) (pin 14))
+ (node (ref JP1) (pin 1))
+ (node (ref C45) (pin 1))
+ (node (ref U19) (pin 5))
(node (ref C29) (pin 1))
- (node (ref R208) (pin 1))
- (node (ref C30) (pin 1))
- (node (ref R9) (pin 1))
- (node (ref U10) (pin 16))
- (node (ref U10) (pin 13))
- (node (ref U10) (pin 10))
- (node (ref J206) (pin 1))
- (node (ref U7) (pin 14))
- (node (ref C319) (pin 1))
- (node (ref U8) (pin 14))
(node (ref U203) (pin 14))
- (node (ref C230) (pin 1))
(node (ref U204) (pin 14))
- (node (ref U208) (pin 9))
(node (ref J201) (pin 2))
(node (ref J201) (pin 24))
- (node (ref R301) (pin 1))
- (node (ref R7) (pin 1))
(node (ref J201) (pin 39))
- (node (ref C45) (pin 1))
(node (ref J201) (pin 41))
+ (node (ref U17) (pin 5))
(node (ref J201) (pin 52))
(node (ref C218) (pin 1))
- (node (ref U16) (pin 5))
- (node (ref X1) (pin 4))
- (node (ref J207) (pin 16))
+ (node (ref J6) (pin 15))
+ (node (ref J6) (pin 13))
+ (node (ref R15) (pin 2))
(node (ref C227) (pin 1))
- (node (ref U5) (pin 8))
(node (ref U201) (pin 2))
(node (ref U202) (pin 14))
(node (ref C224) (pin 1))
+ (node (ref C43) (pin 1))
+ (node (ref R30) (pin 2))
+ (node (ref C228) (pin 1))
+ (node (ref U205) (pin 6))
+ (node (ref U205) (pin 5))
+ (node (ref U205) (pin 10))
+ (node (ref C229) (pin 1))
+ (node (ref U3) (pin 12))
+ (node (ref U3) (pin 13))
+ (node (ref U3) (pin 14))
+ (node (ref U207) (pin 9))
+ (node (ref U207) (pin 8))
+ (node (ref R26) (pin 2))
+ (node (ref U207) (pin 10))
+ (node (ref U7) (pin 14))
+ (node (ref U207) (pin 1))
+ (node (ref R24) (pin 1))
+ (node (ref R23) (pin 1))
+ (node (ref U4) (pin 47))
+ (node (ref U4) (pin 32))
+ (node (ref U4) (pin 23))
+ (node (ref U4) (pin 19))
+ (node (ref U4) (pin 12))
+ (node (ref U4) (pin 11))
+ (node (ref C32) (pin 1))
+ (node (ref C231) (pin 1))
+ (node (ref C34) (pin 1))
+ (node (ref C319) (pin 1))
+ (node (ref C420) (pin 1))
+ (node (ref U10) (pin 16))
+ (node (ref C230) (pin 1))
+ (node (ref U10) (pin 13))
+ (node (ref U208) (pin 9))
+ (node (ref U10) (pin 10))
+ (node (ref R7) (pin 1))
+ (node (ref C28) (pin 1))
+ (node (ref C13) (pin 1))
+ (node (ref R17) (pin 1))
+ (node (ref U6) (pin 5))
+ (node (ref X1) (pin 4))
+ (node (ref R11) (pin 2))
+ (node (ref R301) (pin 1))
+ (node (ref R409) (pin 1))
+ (node (ref J207) (pin 3))
+ (node (ref R410) (pin 1))
+ (node (ref R406) (pin 1))
+ (node (ref J207) (pin 1))
+ (node (ref U5) (pin 8))
+ (node (ref R10) (pin 2))
+ (node (ref U12) (pin 5))
+ (node (ref C33) (pin 1))
+ (node (ref C30) (pin 1))
+ (node (ref C31) (pin 1))
+ (node (ref U11) (pin 16))
+ (node (ref R9) (pin 1))
+ (node (ref R208) (pin 1))
+ (node (ref U11) (pin 13))
+ (node (ref U11) (pin 10))
+ (node (ref C320) (pin 1))
+ (node (ref U8) (pin 14))
+ (node (ref SW301) (pin 2))
+ (node (ref U9) (pin 14))
+ (node (ref U206) (pin 8))
+ (node (ref U206) (pin 7))
+ (node (ref U206) (pin 6))
+ (node (ref R8) (pin 1))
+ (node (ref U206) (pin 5))
+ (node (ref U206) (pin 3))
+ (node (ref U206) (pin 23))
+ (node (ref U206) (pin 22))
+ (node (ref U206) (pin 1))
+ (node (ref C223) (pin 1))
(node (ref C16) (pin 1))
(node (ref C17) (pin 1))
(node (ref C15) (pin 1))
+ (node (ref J302) (pin 4))
(node (ref C18) (pin 1))
(node (ref C22) (pin 1))
- (node (ref C27) (pin 1)))
+ (node (ref C27) (pin 1))
+ (node (ref JP301) (pin 1)))
(net (code 5) (name PWM1.2)
- (node (ref U4) (pin 43))
- (node (ref U7) (pin 2))
- (node (ref U7) (pin 9))
- (node (ref R17) (pin 2)))
- (net (code 6) (name "Net-(R3-Pad1)")
- (node (ref R3) (pin 1))
- (node (ref U1) (pin 4)))
- (net (code 7) (name "Net-(D1-Pad1)")
- (node (ref D1) (pin 1))
- (node (ref R3) (pin 2)))
- (net (code 8) (name "Net-(J1-Pad4)")
+ (node (ref U8) (pin 9))
+ (node (ref U8) (pin 2))
+ (node (ref R17) (pin 2))
+ (node (ref U4) (pin 43)))
+ (net (code 6) (name "Net-(D2-Pad1)")
+ (node (ref R4) (pin 2))
+ (node (ref D2) (pin 1)))
+ (net (code 7) (name "Net-(J1-Pad4)")
(node (ref J1) (pin 4)))
- (net (code 9) (name "Net-(L3-Pad2)")
- (node (ref L3) (pin 2))
- (node (ref U3) (pin 1)))
- (net (code 10) (name PWM2.1)
- (node (ref U12) (pin 14))
- (node (ref U8) (pin 1))
+ (net (code 8) (name "Net-(L3-Pad2)")
+ (node (ref U3) (pin 1))
+ (node (ref L3) (pin 2)))
+ (net (code 9) (name PWM2.1)
(node (ref R20) (pin 2))
+ (node (ref U14) (pin 14))
(node (ref U13) (pin 14))
+ (node (ref U9) (pin 1))
(node (ref U4) (pin 35)))
- (net (code 11) (name /MIC_WS)
- (node (ref U11) (pin 1))
- (node (ref U6) (pin 1))
- (node (ref R19) (pin 2)))
- (net (code 12) (name /SPK_L-)
- (node (ref U12) (pin 10))
- (node (ref J5) (pin 2)))
- (net (code 13) (name /SPK_L+)
- (node (ref J4) (pin 4))
- (node (ref J5) (pin 1)))
- (net (code 14) (name "Net-(U1-Pad24)")
+ (net (code 10) (name /MIC_WS)
+ (node (ref U12) (pin 1))
+ (node (ref R19) (pin 2))
+ (node (ref U7) (pin 1)))
+ (net (code 11) (name "Net-(U1-Pad24)")
(node (ref U1) (pin 24)))
- (net (code 15) (name "Net-(R1-Pad1)")
+ (net (code 12) (name "Net-(R1-Pad1)")
(node (ref R1) (pin 1))
(node (ref U1) (pin 10)))
- (net (code 16) (name "Net-(C1-Pad2)")
- (node (ref U1) (pin 21))
- (node (ref C1) (pin 2)))
- (net (code 17) (name "Net-(C1-Pad1)")
- (node (ref U1) (pin 20))
- (node (ref U1) (pin 19))
- (node (ref L1) (pin 1))
- (node (ref C1) (pin 1)))
- (net (code 18) (name "Net-(L3-Pad1)")
- (node (ref L3) (pin 1))
- (node (ref U3) (pin 3)))
- (net (code 19) (name "Net-(R2-Pad2)")
+ (net (code 13) (name "Net-(C2-Pad2)")
+ (node (ref C2) (pin 2))
+ (node (ref U1) (pin 21)))
+ (net (code 14) (name "Net-(L3-Pad1)")
+ (node (ref U3) (pin 3))
+ (node (ref L3) (pin 1)))
+ (net (code 15) (name "Net-(R2-Pad2)")
+ (node (ref R3) (pin 1))
(node (ref R2) (pin 2))
- (node (ref R4) (pin 1))
(node (ref U1) (pin 11)))
- (net (code 20) (name "Net-(C6-Pad1)")
- (node (ref J2) (pin 1))
- (node (ref U1) (pin 13))
- (node (ref U1) (pin 14))
- (node (ref C6) (pin 1)))
- (net (code 21) (name "Net-(R5-Pad2)")
- (node (ref U3) (pin 11))
- (node (ref R5) (pin 2))
- (node (ref R6) (pin 1)))
- (net (code 22) (name GND)
- (node (ref J301) (pin 141))
- (node (ref J301) (pin 123))
- (node (ref U207) (pin 13))
- (node (ref J301) (pin 105))
- (node (ref J301) (pin 1))
- (node (ref U207) (pin 17))
- (node (ref J301) (pin 159))
- (node (ref J301) (pin 177))
- (node (ref R210) (pin 2))
- (node (ref J301) (pin 19))
- (node (ref U207) (pin 3))
- (node (ref U207) (pin 4))
- (node (ref C229) (pin 2))
- (node (ref C13) (pin 2))
- (node (ref C12) (pin 2))
- (node (ref C21) (pin 2))
- (node (ref SW1) (pin 1))
- (node (ref SW2) (pin 1))
- (node (ref C231) (pin 2))
- (node (ref C19) (pin 2))
- (node (ref C230) (pin 2))
- (node (ref U208) (pin 4))
- (node (ref J301) (pin 37))
- (node (ref C224) (pin 2))
- (node (ref C226) (pin 2))
- (node (ref C225) (pin 2))
+ (net (code 16) (name GND)
+ (node (ref JP302) (pin 1))
+ (node (ref C8) (pin 2))
(node (ref C27) (pin 2))
(node (ref C22) (pin 2))
(node (ref C18) (pin 2))
+ (node (ref J302) (pin 6))
(node (ref C20) (pin 2))
+ (node (ref C9) (pin 2))
(node (ref C15) (pin 2))
+ (node (ref C417) (pin 2))
+ (node (ref C416) (pin 2))
(node (ref C17) (pin 2))
(node (ref C16) (pin 2))
+ (node (ref SW303) (pin 2))
+ (node (ref C408) (pin 2))
(node (ref Y1) (pin 3))
(node (ref C25) (pin 2))
(node (ref C26) (pin 2))
+ (node (ref U14) (pin 11))
+ (node (ref U14) (pin 15))
+ (node (ref U14) (pin 17))
+ (node (ref U14) (pin 3))
+ (node (ref J303) (pin 5))
+ (node (ref J303) (pin 6))
+ (node (ref J4) (pin 1))
+ (node (ref C223) (pin 2))
+ (node (ref R29) (pin 2))
+ (node (ref R28) (pin 2))
+ (node (ref U206) (pin 14))
+ (node (ref U206) (pin 15))
+ (node (ref U206) (pin 16))
+ (node (ref U206) (pin 17))
+ (node (ref U206) (pin 18))
+ (node (ref U206) (pin 19))
+ (node (ref R18) (pin 1))
+ (node (ref U206) (pin 20))
+ (node (ref R20) (pin 1))
+ (node (ref R21) (pin 1))
+ (node (ref J302) (pin 11))
+ (node (ref JP201) (pin 1))
+ (node (ref C37) (pin 2))
+ (node (ref C38) (pin 2))
+ (node (ref J302) (pin 10))
+ (node (ref SW1) (pin 1))
+ (node (ref SW2) (pin 1))
+ (node (ref U9) (pin 7))
+ (node (ref U9) (pin 15))
+ (node (ref C420) (pin 2))
+ (node (ref U8) (pin 7))
+ (node (ref U8) (pin 15))
+ (node (ref C19) (pin 2))
+ (node (ref C320) (pin 2))
+ (node (ref SW202) (pin 2))
+ (node (ref C220) (pin 2))
+ (node (ref U11) (pin 12))
+ (node (ref R1) (pin 2))
+ (node (ref C1) (pin 2))
+ (node (ref J205) (pin 3))
+ (node (ref U11) (pin 8))
+ (node (ref U11) (pin 17))
+ (node (ref J205) (pin 9))
+ (node (ref C35) (pin 2))
+ (node (ref C36) (pin 2))
+ (node (ref C31) (pin 2))
+ (node (ref C30) (pin 2))
+ (node (ref C33) (pin 2))
+ (node (ref C3) (pin 2))
+ (node (ref U13) (pin 11))
+ (node (ref U13) (pin 15))
+ (node (ref U13) (pin 17))
+ (node (ref U13) (pin 3))
+ (node (ref R3) (pin 2))
+ (node (ref U12) (pin 2))
+ (node (ref U12) (pin 3))
+ (node (ref C4) (pin 2))
+ (node (ref C5) (pin 2))
+ (node (ref C6) (pin 2))
+ (node (ref U5) (pin 9))
+ (node (ref C418) (pin 2))
+ (node (ref C419) (pin 2))
+ (node (ref J207) (pin 11))
+ (node (ref C412) (pin 2))
+ (node (ref C413) (pin 2))
+ (node (ref J207) (pin 16))
+ (node (ref U5) (pin 4))
+ (node (ref C411) (pin 2))
+ (node (ref J207) (pin 6))
+ (node (ref C414) (pin 2))
+ (node (ref C410) (pin 2))
+ (node (ref C409) (pin 2))
+ (node (ref Y401) (pin 3))
+ (node (ref R405) (pin 2))
+ (node (ref X1) (pin 2))
+ (node (ref C406) (pin 2))
+ (node (ref R404) (pin 2))
+ (node (ref U6) (pin 2))
+ (node (ref R403) (pin 2))
+ (node (ref C28) (pin 2))
+ (node (ref J6) (pin 3))
+ (node (ref U208) (pin 4))
+ (node (ref U10) (pin 12))
+ (node (ref C404) (pin 2))
+ (node (ref C402) (pin 2))
+ (node (ref C401) (pin 2))
+ (node (ref C230) (pin 2))
+ (node (ref U10) (pin 8))
+ (node (ref U10) (pin 17))
+ (node (ref J3) (pin 2))
+ (node (ref J201) (pin 43))
+ (node (ref U1) (pin 9))
+ (node (ref C319) (pin 2))
+ (node (ref U1) (pin 25))
+ (node (ref C34) (pin 2))
+ (node (ref J301) (pin 87))
+ (node (ref SW302) (pin 2))
+ (node (ref J301) (pin 71))
+ (node (ref J301) (pin 67))
+ (node (ref U1) (pin 18))
+ (node (ref U1) (pin 17))
+ (node (ref J301) (pin 55))
+ (node (ref J301) (pin 37))
+ (node (ref J301) (pin 19))
+ (node (ref C231) (pin 2))
+ (node (ref J301) (pin 177))
+ (node (ref J301) (pin 159))
+ (node (ref C32) (pin 2))
+ (node (ref C426) (pin 2))
+ (node (ref C50) (pin 2))
+ (node (ref J301) (pin 141))
+ (node (ref C425) (pin 2))
+ (node (ref C424) (pin 2))
+ (node (ref C423) (pin 2))
+ (node (ref C422) (pin 2))
+ (node (ref C421) (pin 2))
+ (node (ref C11) (pin 2))
+ (node (ref C12) (pin 2))
+ (node (ref U4) (pin 21))
+ (node (ref U404) (pin 4))
+ (node (ref C10) (pin 2))
+ (node (ref U404) (pin 9))
+ (node (ref R19) (pin 1))
+ (node (ref U401) (pin 2))
+ (node (ref U4) (pin 49))
+ (node (ref R25) (pin 1))
+ (node (ref U7) (pin 6))
+ (node (ref R210) (pin 2))
+ (node (ref U7) (pin 13))
+ (node (ref U207) (pin 13))
+ (node (ref U207) (pin 17))
+ (node (ref U207) (pin 3))
+ (node (ref U207) (pin 4))
+ (node (ref R22) (pin 1))
+ (node (ref C403) (pin 2))
+ (node (ref R32) (pin 2))
+ (node (ref C21) (pin 2))
+ (node (ref C229) (pin 2))
+ (node (ref U3) (pin 10))
+ (node (ref U3) (pin 9))
+ (node (ref J301) (pin 123))
+ (node (ref C55) (pin 2))
+ (node (ref J301) (pin 105))
+ (node (ref J301) (pin 1))
+ (node (ref U3) (pin 2))
+ (node (ref U15) (pin 11))
+ (node (ref U15) (pin 4))
+ (node (ref U15) (pin 9))
+ (node (ref C39) (pin 2))
+ (node (ref U2) (pin 7))
+ (node (ref U2) (pin 6))
+ (node (ref U205) (pin 8))
+ (node (ref C228) (pin 2))
+ (node (ref U2) (pin 2))
+ (node (ref C301) (pin 2))
+ (node (ref C433) (pin 2))
+ (node (ref C432) (pin 2))
+ (node (ref C431) (pin 2))
+ (node (ref C415) (pin 2))
+ (node (ref C430) (pin 2))
+ (node (ref U16) (pin 11))
+ (node (ref U16) (pin 4))
+ (node (ref C429) (pin 2))
+ (node (ref U16) (pin 9))
+ (node (ref C40) (pin 2))
+ (node (ref C43) (pin 2))
+ (node (ref C428) (pin 2))
+ (node (ref C427) (pin 2))
+ (node (ref C224) (pin 2))
+ (node (ref C44) (pin 2))
+ (node (ref C226) (pin 2))
+ (node (ref C225) (pin 2))
+ (node (ref C53) (pin 2))
+ (node (ref C54) (pin 2))
(node (ref U202) (pin 7))
(node (ref U202) (pin 2))
(node (ref U202) (pin 15))
- (node (ref U13) (pin 3))
+ (node (ref C7) (pin 2))
(node (ref U201) (pin 39))
(node (ref U201) (pin 38))
- (node (ref U13) (pin 11))
- (node (ref U13) (pin 15))
(node (ref U201) (pin 15))
- (node (ref U13) (pin 17))
- (node (ref J4) (pin 1))
(node (ref U201) (pin 1))
- (node (ref U5) (pin 9))
- (node (ref J207) (pin 9))
(node (ref C227) (pin 2))
- (node (ref J207) (pin 7))
- (node (ref U5) (pin 4))
- (node (ref J207) (pin 15))
- (node (ref J207) (pin 14))
- (node (ref J207) (pin 12))
- (node (ref X1) (pin 2))
- (node (ref J207) (pin 10))
- (node (ref J301) (pin 55))
+ (node (ref U402) (pin 4))
+ (node (ref J204) (pin 11))
+ (node (ref J204) (pin 6))
+ (node (ref J6) (pin 1))
(node (ref C218) (pin 2))
- (node (ref U16) (pin 2))
(node (ref J201) (pin 9))
(node (ref J201) (pin 53))
(node (ref J201) (pin 50))
- (node (ref J201) (pin 43))
- (node (ref C45) (pin 2))
+ (node (ref U17) (pin 3))
(node (ref J201) (pin 40))
(node (ref J201) (pin 4))
+ (node (ref C302) (pin 2))
(node (ref J201) (pin 37))
(node (ref J201) (pin 35))
+ (node (ref C303) (pin 2))
(node (ref J201) (pin 34))
(node (ref J201) (pin 29))
- (node (ref R29) (pin 2))
(node (ref J201) (pin 27))
- (node (ref R28) (pin 2))
+ (node (ref C304) (pin 2))
(node (ref J201) (pin 26))
(node (ref J201) (pin 21))
(node (ref J201) (pin 18))
(node (ref J201) (pin 15))
(node (ref U204) (pin 7))
(node (ref U204) (pin 15))
- (node (ref C5) (pin 2))
+ (node (ref U203) (pin 7))
(node (ref U203) (pin 15))
+ (node (ref C29) (pin 2))
(node (ref J202) (pin 5))
+ (node (ref U19) (pin 3))
(node (ref SW201) (pin 2))
- (node (ref J301) (pin 67))
- (node (ref C36) (pin 2))
- (node (ref C37) (pin 2))
- (node (ref J3) (pin 2))
- (node (ref J301) (pin 71))
- (node (ref J301) (pin 87))
- (node (ref U8) (pin 7))
- (node (ref U8) (pin 15))
- (node (ref J206) (pin 6))
- (node (ref J206) (pin 3))
- (node (ref U7) (pin 7))
- (node (ref U7) (pin 15))
- (node (ref J206) (pin 12))
+ (node (ref C219) (pin 2))
+ (node (ref C45) (pin 2))
+ (node (ref C305) (pin 2))
+ (node (ref R16) (pin 2))
+ (node (ref C434) (pin 2))
+ (node (ref C13) (pin 2))
+ (node (ref U18) (pin 3))
+ (node (ref C306) (pin 2))
+ (node (ref C52) (pin 2))
+ (node (ref C51) (pin 2))
+ (node (ref J2) (pin 2))
+ (node (ref C307) (pin 2))
+ (node (ref J1) (pin 5))
+ (node (ref U407) (pin 6))
(node (ref J206) (pin 11))
- (node (ref C319) (pin 2))
- (node (ref U10) (pin 12))
- (node (ref U10) (pin 8))
- (node (ref U10) (pin 17))
- (node (ref U1) (pin 9))
- (node (ref J205) (pin 9))
- (node (ref C34) (pin 2))
- (node (ref C35) (pin 2))
- (node (ref J205) (pin 3))
- (node (ref C30) (pin 2))
- (node (ref U1) (pin 25))
- (node (ref C220) (pin 2))
- (node (ref U1) (pin 18))
- (node (ref C29) (pin 2))
- (node (ref U1) (pin 17))
- (node (ref C32) (pin 2))
- (node (ref C4) (pin 2))
- (node (ref U12) (pin 3))
- (node (ref SW202) (pin 2))
- (node (ref U12) (pin 11))
- (node (ref C42) (pin 2))
- (node (ref U12) (pin 15))
- (node (ref U12) (pin 17))
- (node (ref U11) (pin 2))
- (node (ref U11) (pin 3))
- (node (ref R1) (pin 2))
- (node (ref U14) (pin 3))
- (node (ref JP201) (pin 1))
- (node (ref U15) (pin 2))
- (node (ref U206) (pin 20))
- (node (ref U206) (pin 19))
- (node (ref U206) (pin 18))
- (node (ref U6) (pin 6))
+ (node (ref U407) (pin 13))
+ (node (ref R418) (pin 2))
+ (node (ref J206) (pin 16))
+ (node (ref J206) (pin 6))
+ (node (ref JP402) (pin 3))
(node (ref C209) (pin 2))
- (node (ref U206) (pin 17))
- (node (ref U206) (pin 16))
- (node (ref R21) (pin 1))
- (node (ref U206) (pin 15))
- (node (ref U206) (pin 14))
- (node (ref R20) (pin 1))
- (node (ref R18) (pin 1))
- (node (ref U6) (pin 13))
- (node (ref U3) (pin 10))
- (node (ref U3) (pin 9))
+ (node (ref J403) (pin 1))
+ (node (ref J402) (pin 1))
(node (ref C211) (pin 2))
(node (ref C210) (pin 2))
- (node (ref U3) (pin 2))
- (node (ref U2) (pin 7))
- (node (ref U2) (pin 6))
- (node (ref U9) (pin 12))
- (node (ref U2) (pin 2))
(node (ref C212) (pin 2))
- (node (ref U9) (pin 8))
- (node (ref U9) (pin 17))
- (node (ref C223) (pin 2))
(node (ref C213) (pin 2))
- (node (ref C8) (pin 2))
- (node (ref C40) (pin 2))
- (node (ref C33) (pin 2))
- (node (ref C7) (pin 2))
(node (ref R207) (pin 1))
- (node (ref C41) (pin 2))
- (node (ref C31) (pin 2))
- (node (ref R16) (pin 2))
(node (ref C204) (pin 2))
- (node (ref U4) (pin 21))
- (node (ref SW303) (pin 2))
- (node (ref C206) (pin 2))
- (node (ref C205) (pin 2))
- (node (ref C28) (pin 2))
- (node (ref U4) (pin 49))
- (node (ref J303) (pin 1))
- (node (ref C320) (pin 2))
- (node (ref R25) (pin 1))
- (node (ref R15) (pin 2))
- (node (ref R31) (pin 2))
- (node (ref C44) (pin 2))
- (node (ref C43) (pin 2))
- (node (ref C14) (pin 2))
- (node (ref U407) (pin 6))
- (node (ref JP302) (pin 1))
- (node (ref U407) (pin 13))
- (node (ref J2) (pin 2))
- (node (ref J1) (pin 5))
- (node (ref U203) (pin 7))
- (node (ref C222) (pin 2))
- (node (ref C221) (pin 1))
- (node (ref C207) (pin 2))
- (node (ref C208) (pin 2))
- (node (ref J403) (pin 1))
- (node (ref J402) (pin 1))
- (node (ref C214) (pin 2))
- (node (ref C217) (pin 2))
- (node (ref C216) (pin 2))
- (node (ref C215) (pin 2))
- (node (ref J302) (pin 10))
- (node (ref C203) (pin 2))
- (node (ref C202) (pin 2))
- (node (ref C201) (pin 2))
- (node (ref C318) (pin 2))
- (node (ref C219) (pin 2))
- (node (ref C317) (pin 2))
- (node (ref C316) (pin 2))
- (node (ref C315) (pin 2))
- (node (ref C314) (pin 2))
- (node (ref C313) (pin 2))
- (node (ref J204) (pin 6))
(node (ref J401) (pin 8))
- (node (ref C312) (pin 2))
- (node (ref J204) (pin 11))
(node (ref J401) (pin 26))
(node (ref J401) (pin 25))
+ (node (ref C206) (pin 2))
+ (node (ref C205) (pin 2))
(node (ref J401) (pin 20))
- (node (ref C311) (pin 2))
- (node (ref C310) (pin 2))
- (node (ref C228) (pin 2))
(node (ref J401) (pin 14))
(node (ref J401) (pin 13))
- (node (ref U205) (pin 8))
- (node (ref R4) (pin 2))
(node (ref U406) (pin 43))
- (node (ref U404) (pin 4))
- (node (ref SW302) (pin 2))
- (node (ref C427) (pin 2))
- (node (ref C428) (pin 2))
(node (ref R6) (pin 2))
- (node (ref C429) (pin 2))
- (node (ref C430) (pin 2))
- (node (ref C309) (pin 2))
- (node (ref C431) (pin 2))
- (node (ref C308) (pin 2))
- (node (ref C432) (pin 2))
- (node (ref C307) (pin 2))
- (node (ref C433) (pin 2))
- (node (ref C306) (pin 2))
- (node (ref C305) (pin 2))
- (node (ref C304) (pin 2))
- (node (ref C303) (pin 2))
- (node (ref C302) (pin 2))
- (node (ref J302) (pin 11))
- (node (ref C301) (pin 2))
+ (node (ref U405) (pin 15))
(node (ref C441) (pin 1))
(node (ref U403) (pin 65))
(node (ref U403) (pin 48))
(node (ref U403) (pin 33))
+ (node (ref C14) (pin 2))
+ (node (ref C318) (pin 2))
+ (node (ref C317) (pin 2))
(node (ref U403) (pin 23))
- (node (ref C421) (pin 2))
- (node (ref C422) (pin 2))
- (node (ref C423) (pin 2))
- (node (ref C424) (pin 2))
- (node (ref C425) (pin 2))
- (node (ref C426) (pin 2))
+ (node (ref C316) (pin 2))
+ (node (ref C315) (pin 2))
+ (node (ref C314) (pin 2))
+ (node (ref C222) (pin 2))
+ (node (ref C313) (pin 2))
+ (node (ref C221) (pin 1))
+ (node (ref C207) (pin 2))
+ (node (ref C312) (pin 2))
+ (node (ref C208) (pin 2))
+ (node (ref C311) (pin 2))
+ (node (ref C310) (pin 2))
(node (ref U405) (pin 49))
- (node (ref C401) (pin 2))
- (node (ref C402) (pin 2))
- (node (ref C404) (pin 2))
- (node (ref R403) (pin 2))
- (node (ref R404) (pin 2))
- (node (ref C406) (pin 2))
(node (ref U405) (pin 24))
- (node (ref R405) (pin 2))
- (node (ref Y401) (pin 3))
- (node (ref C409) (pin 2))
- (node (ref C410) (pin 2))
- (node (ref C414) (pin 2))
- (node (ref C411) (pin 2))
- (node (ref C413) (pin 2))
- (node (ref U405) (pin 15))
- (node (ref C412) (pin 2))
- (node (ref C419) (pin 2))
- (node (ref C418) (pin 2))
- (node (ref JP402) (pin 3))
- (node (ref R418) (pin 2))
- (node (ref J302) (pin 6))
- (node (ref C2) (pin 2))
- (node (ref C434) (pin 2))
- (node (ref C408) (pin 2))
- (node (ref C417) (pin 2))
- (node (ref C416) (pin 2))
- (node (ref R33) (pin 2))
- (node (ref U402) (pin 4))
- (node (ref R22) (pin 1))
- (node (ref R19) (pin 1))
- (node (ref C9) (pin 2))
- (node (ref C415) (pin 2))
- (node (ref C420) (pin 2))
- (node (ref C11) (pin 2))
- (node (ref C10) (pin 2))
- (node (ref C403) (pin 2))
- (node (ref U401) (pin 2))
- (node (ref C6) (pin 2))
- (node (ref U404) (pin 9))
- (node (ref C3) (pin 2)))
- (net (code 23) (name "Net-(C5-Pad1)")
- (node (ref C5) (pin 1))
+ (node (ref C214) (pin 2))
+ (node (ref C217) (pin 2))
+ (node (ref C216) (pin 2))
+ (node (ref C215) (pin 2))
+ (node (ref C203) (pin 2))
+ (node (ref C202) (pin 2))
+ (node (ref C309) (pin 2))
+ (node (ref C201) (pin 2))
+ (node (ref C308) (pin 2)))
+ (net (code 17) (name "Net-(C3-Pad1)")
(node (ref U1) (pin 22))
+ (node (ref C3) (pin 1))
(node (ref R2) (pin 1)))
- (net (code 24) (name TMUX.I2C0.SCL)
- (node (ref J207) (pin 1))
- (node (ref U205) (pin 2))
- (node (ref U6) (pin 3))
+ (net (code 18) (name TMUX.I2C0.SCL)
(node (ref U206) (pin 2))
- (node (ref U407) (pin 1))
+ (node (ref R13) (pin 2))
+ (node (ref U205) (pin 2))
+ (node (ref U7) (pin 3))
(node (ref U1) (pin 5))
- (node (ref J206) (pin 10))
- (node (ref R13) (pin 2)))
- (net (code 25) (name TMUX.I2C0.SDA)
- (node (ref J206) (pin 8))
+ (node (ref J207) (pin 14))
+ (node (ref J206) (pin 14))
+ (node (ref U407) (pin 1)))
+ (net (code 19) (name TMUX.I2C0.SDA)
+ (node (ref J207) (pin 12))
+ (node (ref U407) (pin 4))
(node (ref R14) (pin 2))
+ (node (ref U7) (pin 9))
+ (node (ref U205) (pin 3))
(node (ref U1) (pin 6))
- (node (ref U407) (pin 4))
- (node (ref U206) (pin 4))
- (node (ref U6) (pin 9))
- (node (ref J207) (pin 3))
- (node (ref U205) (pin 3)))
- (net (code 26) (name "Net-(J1-Pad6)")
+ (node (ref J206) (pin 12))
+ (node (ref U206) (pin 4)))
+ (net (code 20) (name "Net-(J1-Pad6)")
(node (ref J1) (pin 6)))
- (net (code 27) (name /BQ_PMID)
- (node (ref U1) (pin 23))
- (node (ref C44) (pin 1))
- (node (ref C43) (pin 1))
- (node (ref J3) (pin 1)))
- (net (code 28) (name /MIC_SD)
- (node (ref U11) (pin 6))
- (node (ref R22) (pin 2))
- (node (ref U9) (pin 14))
- (node (ref U6) (pin 8)))
- (net (code 29) (name PWM0.1)
- (node (ref U4) (pin 26))
- (node (ref U6) (pin 10)))
- (net (code 30) (name PWM1.1)
+ (net (code 21) (name /MIC_SD)
+ (node (ref U10) (pin 14))
+ (node (ref U7) (pin 8))
+ (node (ref U12) (pin 6))
+ (node (ref R22) (pin 2)))
+ (net (code 22) (name PWM0.1)
+ (node (ref U7) (pin 10))
+ (node (ref U4) (pin 26)))
+ (net (code 23) (name PWM1.1)
(node (ref U4) (pin 41))
- (node (ref U6) (pin 2)))
- (net (code 31) (name /SPK_SD)
- (node (ref U6) (pin 4))
+ (node (ref U7) (pin 2)))
+ (net (code 24) (name /SPK_SD)
+ (node (ref U14) (pin 1))
(node (ref U13) (pin 1))
- (node (ref U10) (pin 9))
- (node (ref U12) (pin 1)))
- (net (code 32) (name /SPK_EN)
- (node (ref U7) (pin 8))
- (node (ref U8) (pin 5))
- (node (ref U8) (pin 4))
- (node (ref R26) (pin 2))
+ (node (ref U7) (pin 4))
+ (node (ref U11) (pin 9)))
+ (net (code 25) (name /SPK_EN)
(node (ref R27) (pin 2))
- (node (ref U6) (pin 15)))
- (net (code 33) (name GPIO_13)
+ (node (ref U9) (pin 5))
+ (node (ref C40) (pin 1))
+ (node (ref U16) (pin 2))
+ (node (ref U9) (pin 4))
+ (node (ref U13) (pin 4))
+ (node (ref U7) (pin 15))
+ (node (ref U8) (pin 8))
+ (node (ref U19) (pin 1))
+ (node (ref C39) (pin 1))
+ (node (ref U15) (pin 2)))
+ (net (code 26) (name GPIO_13)
(node (ref U4) (pin 37))
- (node (ref U6) (pin 5)))
- (net (code 34) (name GPIO_12)
+ (node (ref U7) (pin 5)))
+ (net (code 27) (name GPIO_12)
(node (ref U4) (pin 36))
- (node (ref U6) (pin 7)))
- (net (code 35) (name /SPK_GAIN)
+ (node (ref U7) (pin 7)))
+ (net (code 28) (name /SPK_GAIN)
+ (node (ref U14) (pin 2))
(node (ref U13) (pin 2))
- (node (ref U12) (pin 2))
- (node (ref U15) (pin 4)))
- (net (code 36) (name /SPK_GAIN_SEL)
- (node (ref U15) (pin 6))
- (node (ref U8) (pin 8)))
- (net (code 37) (name /SPK_R-)
- (node (ref U13) (pin 10))
- (node (ref J5) (pin 4)))
- (net (code 38) (name /SPK_R+)
- (node (ref J4) (pin 7))
- (node (ref J5) (pin 3)))
- (net (code 39) (name /HP_DET)
- (node (ref R30) (pin 1))
- (node (ref J4) (pin 10))
- (node (ref J4) (pin 11))
- (node (ref U14) (pin 2)))
- (net (code 40) (name TMUX.GPIO1)
- (node (ref U407) (pin 8))
- (node (ref J206) (pin 14))
- (node (ref U6) (pin 12))
- (node (ref R16) (pin 1)))
- (net (code 41) (name TMUX.GPIO0)
+ (node (ref U18) (pin 5)))
+ (net (code 29) (name /SPK_GAIN_SEL)
+ (node (ref U18) (pin 1))
+ (node (ref U9) (pin 8)))
+ (net (code 30) (name TMUX.GPIO1)
(node (ref R15) (pin 1))
- (node (ref J206) (pin 16))
- (node (ref U407) (pin 11))
- (node (ref U6) (pin 16)))
- (net (code 42) (name "Net-(R33-Pad1)")
- (node (ref U15) (pin 3))
- (node (ref R33) (pin 1)))
- (net (code 43) (name "Net-(R32-Pad2)")
- (node (ref U15) (pin 1))
- (node (ref R32) (pin 2)))
- (net (code 44) (name /HP_SWITCH)
- (node (ref U14) (pin 4))
- (node (ref U8) (pin 9)))
- (net (code 45) (name "Net-(U14-Pad1)")
- (node (ref U14) (pin 1)))
- (net (code 46) (name /BQ_QON)
- (node (ref U1) (pin 12))
- (node (ref U16) (pin 4)))
- (net (code 47) (name "Net-(U1-Pad2)")
- (node (ref U1) (pin 2))
- (node (ref U1) (pin 3)))
- (net (code 48) (name /BQ_INT)
- (node (ref TP1) (pin 1))
- (node (ref U1) (pin 7)))
- (net (code 49) (name USB_D+)
- (node (ref U207) (pin 6))
- (node (ref J1) (pin 3)))
- (net (code 50) (name USB_D-)
- (node (ref U207) (pin 7))
- (node (ref J1) (pin 2)))
- (net (code 51) (name WAKE)
- (node (ref U201) (pin 25))
+ (node (ref U7) (pin 12))
+ (node (ref U407) (pin 8)))
+ (net (code 31) (name TMUX.GPIO0)
+ (node (ref R16) (pin 1))
+ (node (ref U7) (pin 16))
+ (node (ref U407) (pin 11)))
+ (net (code 32) (name "Net-(R32-Pad1)")
+ (node (ref U18) (pin 4))
+ (node (ref R32) (pin 1)))
+ (net (code 33) (name "Net-(R31-Pad2)")
+ (node (ref R31) (pin 2))
+ (node (ref U18) (pin 6)))
+ (net (code 34) (name "Net-(R5-Pad2)")
+ (node (ref U3) (pin 11))
+ (node (ref R6) (pin 1))
+ (node (ref R5) (pin 2)))
+ (net (code 35) (name /~HP_DET)
+ (node (ref U15) (pin 7))
+ (node (ref U9) (pin 9))
+ (node (ref U16) (pin 7))
+ (node (ref U17) (pin 4)))
+ (net (code 36) (name /BQ_QON)
+ (node (ref U6) (pin 4))
+ (node (ref U1) (pin 12)))
+ (net (code 37) (name "Net-(U1-Pad2)")
+ (node (ref U1) (pin 3))
+ (node (ref U1) (pin 2)))
+ (net (code 38) (name "Net-(R4-Pad1)")
+ (node (ref U1) (pin 4))
+ (node (ref R4) (pin 1)))
+ (net (code 39) (name USB_D+)
+ (node (ref J1) (pin 3))
+ (node (ref U207) (pin 6)))
+ (net (code 40) (name USB_D-)
+ (node (ref J1) (pin 2))
+ (node (ref U207) (pin 7)))
+ (net (code 41) (name WAKE)
+ (node (ref U6) (pin 3))
(node (ref SW2) (pin 2))
- (node (ref U16) (pin 3))
(node (ref R11) (pin 1))
- (node (ref U4) (pin 18)))
- (net (code 52) (name JTAG_TRST_N)
- (node (ref R7) (pin 2))
+ (node (ref U4) (pin 18))
+ (node (ref U201) (pin 25)))
+ (net (code 42) (name JTAG_TRST_N)
(node (ref J205) (pin 8))
- (node (ref U16) (pin 1)))
- (net (code 53) (name "Net-(X1-Pad1)")
+ (node (ref U6) (pin 1))
+ (node (ref R7) (pin 2)))
+ (net (code 43) (name "Net-(X1-Pad1)")
(node (ref X1) (pin 1)))
- (net (code 54) (name /PSD_LFALTCLK)
- (node (ref U4) (pin 20))
- (node (ref X1) (pin 3)))
- (net (code 55) (name /QSPI_CS)
+ (net (code 44) (name /PSD_LFALTCLK)
+ (node (ref X1) (pin 3))
+ (node (ref U4) (pin 20)))
+ (net (code 45) (name /QSPI_CS)
+ (node (ref U4) (pin 5))
(node (ref R8) (pin 2))
- (node (ref U5) (pin 1))
- (node (ref U4) (pin 5)))
- (net (code 56) (name /QSPI_DQ_3)
- (node (ref R9) (pin 2))
+ (node (ref U5) (pin 1)))
+ (net (code 46) (name /QSPI_DQ_3)
(node (ref U4) (pin 1))
- (node (ref U5) (pin 7)))
- (net (code 57) (name /QSPI_DQ_2)
- (node (ref U5) (pin 3))
- (node (ref U4) (pin 2)))
- (net (code 58) (name /QSPI_DQ_1)
- (node (ref U5) (pin 2))
- (node (ref U4) (pin 3)))
- (net (code 59) (name /QSPI_DQ_0)
- (node (ref U5) (pin 5))
- (node (ref U4) (pin 4)))
- (net (code 60) (name /QSPI_CLK)
- (node (ref U4) (pin 48))
- (node (ref U5) (pin 6)))
- (net (code 61) (name /PLL_AVDD)
- (node (ref U4) (pin 7))
- (node (ref R12) (pin 2))
+ (node (ref U5) (pin 7))
+ (node (ref R9) (pin 2)))
+ (net (code 47) (name /QSPI_DQ_2)
+ (node (ref U4) (pin 2))
+ (node (ref U5) (pin 3)))
+ (net (code 48) (name /QSPI_DQ_1)
+ (node (ref U4) (pin 3))
+ (node (ref U5) (pin 2)))
+ (net (code 49) (name /QSPI_DQ_0)
+ (node (ref U4) (pin 4))
+ (node (ref U5) (pin 5)))
+ (net (code 50) (name /QSPI_CLK)
+ (node (ref U5) (pin 6))
+ (node (ref U4) (pin 48)))
+ (net (code 51) (name /PLL_AVDD)
+ (node (ref C24) (pin 1))
(node (ref C23) (pin 1))
- (node (ref C24) (pin 1)))
- (net (code 62) (name /PLL_AVSS)
- (node (ref U4) (pin 8))
+ (node (ref U4) (pin 7))
+ (node (ref R12) (pin 2)))
+ (net (code 52) (name /PLL_AVSS)
+ (node (ref C24) (pin 2))
(node (ref C23) (pin 2))
- (node (ref C24) (pin 2)))
- (net (code 63) (name /XTAL_XO)
+ (node (ref U4) (pin 8)))
+ (net (code 53) (name /XTAL_XO)
+ (node (ref U4) (pin 10))
(node (ref C26) (pin 1))
- (node (ref Y1) (pin 2))
- (node (ref U4) (pin 10)))
- (net (code 64) (name /XTAL_XI)
- (node (ref C25) (pin 1))
+ (node (ref Y1) (pin 2)))
+ (net (code 54) (name /XTAL_XI)
(node (ref U4) (pin 9))
- (node (ref Y1) (pin 1)))
- (net (code 65) (name JTAG_TDI)
- (node (ref J205) (pin 7))
- (node (ref U4) (pin 16)))
- (net (code 66) (name JTAG_TMS)
+ (node (ref Y1) (pin 1))
+ (node (ref C25) (pin 1)))
+ (net (code 55) (name JTAG_TDI)
+ (node (ref U4) (pin 16))
+ (node (ref J205) (pin 7)))
+ (net (code 56) (name JTAG_TMS)
(node (ref J205) (pin 6))
(node (ref U4) (pin 15)))
- (net (code 67) (name JTAG_TDO)
- (node (ref J205) (pin 5))
- (node (ref U4) (pin 14)))
- (net (code 68) (name JTAG_TCK)
- (node (ref J205) (pin 4))
- (node (ref U4) (pin 13)))
- (net (code 69) (name GPIO_0)
+ (net (code 57) (name JTAG_TDO)
+ (node (ref U4) (pin 14))
+ (node (ref J205) (pin 5)))
+ (net (code 58) (name JTAG_TCK)
+ (node (ref U4) (pin 13))
+ (node (ref J205) (pin 4)))
+ (net (code 59) (name GPIO_0)
(node (ref J204) (pin 2))
- (node (ref R208) (pin 2))
- (node (ref U4) (pin 25)))
- (net (code 70) (name SPI1.SS0)
- (node (ref U202) (pin 13))
- (node (ref U4) (pin 27))
- (node (ref U202) (pin 10))
+ (node (ref U4) (pin 25))
+ (node (ref R208) (pin 2)))
+ (net (code 60) (name SPI1.SS0)
(node (ref U202) (pin 1))
+ (node (ref R203) (pin 2))
(node (ref U202) (pin 4))
- (node (ref R203) (pin 2)))
- (net (code 71) (name SPI1.MOSI)
- (node (ref J206) (pin 5))
+ (node (ref U202) (pin 13))
+ (node (ref U202) (pin 10))
+ (node (ref U4) (pin 27)))
+ (net (code 61) (name SPI1.MOSI)
+ (node (ref J204) (pin 3))
(node (ref U403) (pin 5))
+ (node (ref U4) (pin 28))
(node (ref J401) (pin 19))
- (node (ref J207) (pin 6))
+ (node (ref J206) (pin 5))
(node (ref U202) (pin 8))
- (node (ref J204) (pin 3))
- (node (ref R24) (pin 2))
- (node (ref U4) (pin 28)))
- (net (code 72) (name SPI1.MISO)
- (node (ref J207) (pin 4))
- (node (ref J401) (pin 21))
- (node (ref U202) (pin 6))
+ (node (ref J207) (pin 5))
+ (node (ref R24) (pin 2)))
+ (net (code 62) (name SPI1.MISO)
+ (node (ref J204) (pin 7))
+ (node (ref J207) (pin 7))
(node (ref R23) (pin 2))
(node (ref U403) (pin 4))
- (node (ref J206) (pin 7))
+ (node (ref U202) (pin 6))
+ (node (ref J401) (pin 21))
(node (ref U4) (pin 29))
- (node (ref J204) (pin 7)))
- (net (code 73) (name SPI1.SCK)
- (node (ref J204) (pin 5))
- (node (ref U202) (pin 11))
- (node (ref U403) (pin 3))
- (node (ref J401) (pin 17))
+ (node (ref J206) (pin 7)))
+ (net (code 63) (name SPI1.SCK)
(node (ref U4) (pin 31))
(node (ref J206) (pin 9))
+ (node (ref U202) (pin 11))
+ (node (ref J207) (pin 9))
+ (node (ref J401) (pin 17))
(node (ref R25) (pin 2))
- (node (ref J207) (pin 2)))
- (net (code 74) (name SPI1.SS2)
- (node (ref J207) (pin 5))
- (node (ref U4) (pin 33)))
- (net (code 75) (name SPI1.SS3)
+ (node (ref U403) (pin 3))
+ (node (ref J204) (pin 5)))
+ (net (code 64) (name SPI1.SS2)
+ (node (ref U4) (pin 33))
+ (node (ref J207) (pin 8)))
+ (net (code 65) (name SPI1.SS3)
(node (ref R407) (pin 2))
- (node (ref U403) (pin 6))
- (node (ref U4) (pin 34)))
- (net (code 76) (name UART0.RXD)
+ (node (ref U4) (pin 34))
+ (node (ref U403) (pin 6)))
+ (net (code 66) (name UART0.RXD)
(node (ref U208) (pin 1))
(node (ref J205) (pin 11))
(node (ref J206) (pin 15))
(node (ref U4) (pin 38)))
- (net (code 77) (name UART0.TXD)
+ (net (code 67) (name UART0.TXD)
(node (ref U208) (pin 7))
(node (ref J205) (pin 10))
(node (ref J206) (pin 13))
(node (ref U4) (pin 39)))
- (net (code 78) (name GPIO_20)
+ (net (code 68) (name GPIO_20)
(node (ref U4) (pin 42))
(node (ref U201) (pin 36)))
- (net (code 79) (name GPIO_22)
+ (net (code 69) (name GPIO_22)
(node (ref U4) (pin 44))
(node (ref U201) (pin 33)))
- (net (code 80) (name GPIO_23)
- (node (ref U403) (pin 11))
+ (net (code 70) (name GPIO_23)
(node (ref U4) (pin 45))
- (node (ref R408) (pin 2)))
- (net (code 81) (name /RESET)
- (node (ref R10) (pin 1))
- (node (ref C13) (pin 1))
+ (node (ref R408) (pin 2))
+ (node (ref U403) (pin 11)))
+ (net (code 71) (name /RESET)
(node (ref U4) (pin 24))
+ (node (ref C14) (pin 1))
+ (node (ref R10) (pin 1))
(node (ref SW1) (pin 2))
- (node (ref U16) (pin 6)))
- (net (code 82) (name "Net-(R27-Pad1)")
- (node (ref R27) (pin 1))
- (node (ref U13) (pin 4)))
- (net (code 83) (name /SPK_CLK)
- (node (ref U8) (pin 11))
+ (node (ref U6) (pin 6)))
+ (net (code 72) (name /SPK_CLK)
+ (node (ref U9) (pin 11))
(node (ref U13) (pin 16))
- (node (ref U12) (pin 16)))
- (net (code 84) (name "Net-(C39-Pad1)")
- (node (ref R29) (pin 1))
- (node (ref C39) (pin 1))
- (node (ref J4) (pin 2)))
- (net (code 85) (name "Net-(C38-Pad1)")
- (node (ref C38) (pin 1))
+ (node (ref U14) (pin 16)))
+ (net (code 73) (name "Net-(R27-Pad1)")
+ (node (ref U14) (pin 4))
+ (node (ref R27) (pin 1)))
+ (net (code 74) (name "Net-(C42-Pad1)")
+ (node (ref C42) (pin 1))
+ (node (ref J4) (pin 2))
+ (node (ref R29) (pin 1)))
+ (net (code 75) (name "Net-(C41-Pad1)")
+ (node (ref C41) (pin 1))
(node (ref R28) (pin 1))
(node (ref J4) (pin 3)))
- (net (code 86) (name /SPK_R_HP_+)
- (node (ref U13) (pin 9))
+ (net (code 76) (name "Net-(J4-Pad4)")
+ (node (ref J4) (pin 4)))
+ (net (code 77) (name "Net-(J4-Pad5)")
+ (node (ref J4) (pin 5)))
+ (net (code 78) (name "Net-(J4-Pad6)")
+ (node (ref J4) (pin 6)))
+ (net (code 79) (name "Net-(J4-Pad7)")
+ (node (ref J4) (pin 7)))
+ (net (code 80) (name "Net-(J4-Pad8)")
(node (ref J4) (pin 8)))
- (net (code 87) (name DISP_CS)
- (node (ref U8) (pin 3))
+ (net (code 81) (name "Net-(J4-Pad9)")
+ (node (ref J4) (pin 9)))
+ (net (code 82) (name DISP_CS)
+ (node (ref U9) (pin 3))
(node (ref J401) (pin 15)))
- (net (code 88) (name /SPK_L_HP+)
- (node (ref J4) (pin 5))
- (node (ref U12) (pin 9)))
- (net (code 89) (name "Net-(C38-Pad2)")
- (node (ref J4) (pin 9))
- (node (ref C38) (pin 2)))
- (net (code 90) (name "Net-(C39-Pad2)")
- (node (ref C39) (pin 2))
- (node (ref J4) (pin 6)))
- (net (code 91) (name "Net-(U10-Pad1)")
+ (net (code 83) (name /HP_DET)
+ (node (ref U17) (pin 2))
+ (node (ref R30) (pin 1))
+ (node (ref J4) (pin 10))
+ (node (ref J4) (pin 11)))
+ (net (code 84) (name VDDA)
+ (node (ref JP1) (pin 2))
+ (node (ref U13) (pin 8))
+ (node (ref U13) (pin 7))
+ (node (ref J206) (pin 4))
+ (node (ref J6) (pin 2))
+ (node (ref J6) (pin 4))
+ (node (ref J206) (pin 2))
+ (node (ref U18) (pin 2))
+ (node (ref R31) (pin 1))
+ (node (ref C38) (pin 1))
+ (node (ref C37) (pin 1))
+ (node (ref C36) (pin 1))
+ (node (ref C35) (pin 1))
+ (node (ref U14) (pin 8))
+ (node (ref U14) (pin 7))
+ (node (ref C44) (pin 1)))
+ (net (code 85) (name /AUDIO_OUT_R-)
+ (node (ref U14) (pin 10))
+ (node (ref U16) (pin 10)))
+ (net (code 86) (name "Net-(U11-Pad1)")
+ (node (ref U11) (pin 1)))
+ (net (code 87) (name "Net-(U11-Pad15)")
+ (node (ref U11) (pin 15)))
+ (net (code 88) (name "Net-(U11-Pad2)")
+ (node (ref U11) (pin 2)))
+ (net (code 89) (name "Net-(U11-Pad3)")
+ (node (ref U11) (pin 3)))
+ (net (code 90) (name "Net-(U11-Pad4)")
+ (node (ref U11) (pin 4)))
+ (net (code 91) (name "Net-(U11-Pad5)")
+ (node (ref U11) (pin 5)))
+ (net (code 92) (name "Net-(U11-Pad6)")
+ (node (ref U11) (pin 6)))
+ (net (code 93) (name "Net-(U11-Pad7)")
+ (node (ref U11) (pin 7)))
+ (net (code 94) (name /AUDIO_OUT_L-)
+ (node (ref U13) (pin 10))
+ (node (ref U15) (pin 10)))
+ (net (code 95) (name "Net-(U9-Pad2)")
+ (node (ref U9) (pin 6))
+ (node (ref U9) (pin 2)))
+ (net (code 96) (name "Net-(U8-Pad10)")
+ (node (ref U8) (pin 10))
+ (node (ref U8) (pin 11)))
+ (net (code 97) (name "Net-(U8-Pad3)")
+ (node (ref U8) (pin 3))
+ (node (ref U8) (pin 4)))
+ (net (code 98) (name "Net-(U10-Pad9)")
+ (node (ref U10) (pin 9))
+ (node (ref U11) (pin 14)))
+ (net (code 99) (name "Net-(U10-Pad1)")
(node (ref U10) (pin 1)))
- (net (code 92) (name "Net-(U10-Pad15)")
+ (net (code 100) (name "Net-(U10-Pad11)")
+ (node (ref U8) (pin 6))
+ (node (ref U11) (pin 11))
+ (node (ref U10) (pin 11)))
+ (net (code 101) (name "Net-(U10-Pad15)")
(node (ref U10) (pin 15)))
- (net (code 93) (name "Net-(U10-Pad2)")
+ (net (code 102) (name "Net-(U10-Pad2)")
(node (ref U10) (pin 2)))
- (net (code 94) (name "Net-(U10-Pad3)")
+ (net (code 103) (name "Net-(U10-Pad3)")
(node (ref U10) (pin 3)))
- (net (code 95) (name "Net-(U10-Pad4)")
+ (net (code 104) (name "Net-(U10-Pad4)")
(node (ref U10) (pin 4)))
- (net (code 96) (name "Net-(U10-Pad5)")
+ (net (code 105) (name "Net-(U10-Pad5)")
(node (ref U10) (pin 5)))
- (net (code 97) (name "Net-(U10-Pad6)")
+ (net (code 106) (name "Net-(U10-Pad6)")
(node (ref U10) (pin 6)))
- (net (code 98) (name "Net-(U10-Pad7)")
+ (net (code 107) (name "Net-(U10-Pad7)")
(node (ref U10) (pin 7)))
- (net (code 99) (name "Net-(R26-Pad1)")
- (node (ref R26) (pin 1))
- (node (ref U12) (pin 4)))
- (net (code 100) (name "Net-(U8-Pad2)")
- (node (ref U8) (pin 2))
- (node (ref U8) (pin 6)))
- (net (code 101) (name "Net-(U7-Pad10)")
- (node (ref U7) (pin 10))
- (node (ref U7) (pin 11)))
- (net (code 102) (name "Net-(U10-Pad11)")
- (node (ref U9) (pin 11))
- (node (ref U7) (pin 6))
- (node (ref U10) (pin 11)))
- (net (code 103) (name "Net-(U7-Pad3)")
- (node (ref U7) (pin 3))
- (node (ref U7) (pin 4)))
- (net (code 104) (name "Net-(U10-Pad14)")
- (node (ref U10) (pin 14))
- (node (ref U9) (pin 9)))
- (net (code 105) (name "Net-(U9-Pad1)")
- (node (ref U9) (pin 1)))
- (net (code 106) (name "Net-(U9-Pad15)")
- (node (ref U9) (pin 15)))
- (net (code 107) (name "Net-(U9-Pad2)")
- (node (ref U9) (pin 2)))
- (net (code 108) (name "Net-(U9-Pad3)")
- (node (ref U9) (pin 3)))
- (net (code 109) (name "Net-(U9-Pad4)")
- (node (ref U9) (pin 4)))
- (net (code 110) (name "Net-(U9-Pad5)")
- (node (ref U9) (pin 5)))
- (net (code 111) (name "Net-(U9-Pad6)")
- (node (ref U9) (pin 6)))
- (net (code 112) (name "Net-(U9-Pad7)")
- (node (ref U9) (pin 7)))
- (net (code 113) (name VBUS)
- (node (ref J1) (pin 1))
- (node (ref C42) (pin 1))
- (node (ref U1) (pin 1))
- (node (ref R209) (pin 1))
- (node (ref C4) (pin 1)))
- (net (code 114) (name BT81X.GPIO2)
- (node (ref R31) (pin 1))
- (node (ref U8) (pin 10))
- (node (ref U403) (pin 10)))
- (net (code 115) (name /AON_PMU_OUT_1)
+ (net (code 108) (name /AON_PMU_OUT_1)
(node (ref U4) (pin 17)))
- (net (code 116) (name /AON_PMU_OUT_0)
+ (net (code 109) (name /AON_PMU_OUT_0)
(node (ref U4) (pin 22))
(node (ref TP2) (pin 1)))
- (net (code 117) (name +1V8)
- (node (ref C8) (pin 1))
- (node (ref JP402) (pin 1))
- (node (ref U405) (pin 13))
- (node (ref U204) (pin 1))
- (node (ref C403) (pin 1))
- (node (ref U4) (pin 6))
- (node (ref R401) (pin 1))
- (node (ref C441) (pin 2))
- (node (ref C414) (pin 1))
- (node (ref C21) (pin 1))
- (node (ref JP201) (pin 3))
- (node (ref U405) (pin 25))
- (node (ref U203) (pin 1))
+ (net (code 110) (name +1V8)
(node (ref U403) (pin 28))
- (node (ref C421) (pin 1))
(node (ref C422) (pin 1))
+ (node (ref C9) (pin 1))
+ (node (ref U402) (pin 8))
+ (node (ref C20) (pin 1))
+ (node (ref C441) (pin 2))
+ (node (ref R12) (pin 1))
+ (node (ref C21) (pin 1))
+ (node (ref C414) (pin 1))
+ (node (ref L2) (pin 2))
+ (node (ref C206) (pin 1))
(node (ref C208) (pin 1))
+ (node (ref U2) (pin 3))
+ (node (ref J401) (pin 3))
+ (node (ref R401) (pin 1))
+ (node (ref C403) (pin 1))
+ (node (ref C55) (pin 1))
+ (node (ref C421) (pin 1))
+ (node (ref JP402) (pin 1))
(node (ref C423) (pin 1))
(node (ref C424) (pin 1))
- (node (ref U2) (pin 3))
+ (node (ref U405) (pin 48))
(node (ref C425) (pin 1))
(node (ref C426) (pin 1))
- (node (ref R12) (pin 1))
- (node (ref J401) (pin 3))
- (node (ref C20) (pin 1))
- (node (ref U402) (pin 8))
- (node (ref C14) (pin 1))
- (node (ref U4) (pin 30))
+ (node (ref U405) (pin 13))
+ (node (ref JP201) (pin 3))
+ (node (ref U204) (pin 1))
(node (ref U203) (pin 8))
- (node (ref L2) (pin 2))
- (node (ref C206) (pin 1))
- (node (ref U405) (pin 48))
(node (ref C19) (pin 1))
- (node (ref U4) (pin 46)))
- (net (code 118) (name iMX8.GPIO5.IO28)
+ (node (ref U203) (pin 1))
+ (node (ref U4) (pin 6))
+ (node (ref U4) (pin 46))
+ (node (ref U4) (pin 30))
+ (node (ref U405) (pin 25)))
+ (net (code 111) (name /AUDIO_OUT_L+)
+ (node (ref U15) (pin 3))
+ (node (ref U13) (pin 9)))
+ (net (code 112) (name "Net-(U15-Pad6)")
+ (node (ref U15) (pin 6)))
+ (net (code 113) (name /HP_R)
+ (node (ref C41) (pin 2))
+ (node (ref U16) (pin 5)))
+ (net (code 114) (name /HP_L)
+ (node (ref C42) (pin 2))
+ (node (ref U15) (pin 5)))
+ (net (code 115) (name /SPK_L+)
+ (node (ref J5) (pin 1))
+ (node (ref J6) (pin 5))
+ (node (ref J6) (pin 7))
+ (node (ref U15) (pin 1)))
+ (net (code 116) (name /SPK_L-)
+ (node (ref J5) (pin 2))
+ (node (ref J6) (pin 11))
+ (node (ref U15) (pin 8))
+ (node (ref J6) (pin 9)))
+ (net (code 117) (name /AUDIO_OUT_R+)
+ (node (ref U16) (pin 3))
+ (node (ref U14) (pin 9)))
+ (net (code 118) (name "Net-(U16-Pad6)")
+ (node (ref U16) (pin 6)))
+ (net (code 119) (name /SPK_R+)
+ (node (ref U16) (pin 1))
+ (node (ref J5) (pin 3)))
+ (net (code 120) (name /SPK_R-)
+ (node (ref U16) (pin 8))
+ (node (ref J5) (pin 4)))
+ (net (code 121) (name /BQ_SW)
+ (node (ref D1) (pin 2))
+ (node (ref L1) (pin 1))
+ (node (ref U1) (pin 19))
+ (node (ref U1) (pin 20))
+ (node (ref C2) (pin 1)))
+ (net (code 122) (name BQ.INT)
+ (node (ref U201) (pin 10))
+ (node (ref U1) (pin 7)))
+ (net (code 123) (name /BQ_PMID)
+ (node (ref C54) (pin 1))
+ (node (ref C52) (pin 1))
+ (node (ref U1) (pin 23))
+ (node (ref D1) (pin 1))
+ (node (ref J3) (pin 1))
+ (node (ref C53) (pin 1))
+ (node (ref C51) (pin 1))
+ (node (ref JP1) (pin 3)))
+ (net (code 124) (name VBUS)
+ (node (ref R209) (pin 1))
+ (node (ref J1) (pin 1))
+ (node (ref C50) (pin 1))
+ (node (ref U1) (pin 1))
+ (node (ref C1) (pin 1)))
+ (net (code 125) (name "Net-(C6-Pad1)")
+ (node (ref U1) (pin 14))
+ (node (ref C7) (pin 1))
+ (node (ref U1) (pin 13))
+ (node (ref C6) (pin 1))
+ (node (ref J2) (pin 1)))
+ (net (code 126) (name "Net-(J6-Pad12)")
+ (node (ref J6) (pin 12)))
+ (net (code 127) (name "Net-(J6-Pad14)")
+ (node (ref J6) (pin 14)))
+ (net (code 128) (name "Net-(J6-Pad16)")
+ (node (ref J6) (pin 16)))
+ (net (code 129) (name "Net-(J6-Pad8)")
+ (node (ref J6) (pin 8)))
+ (net (code 130) (name BT81X.AUDIO)
+ (node (ref J6) (pin 6))
+ (node (ref U403) (pin 26)))
+ (net (code 131) (name "Net-(U17-Pad1)")
+ (node (ref U17) (pin 1)))
+ (net (code 132) (name BT81X.GPIO2)
+ (node (ref U9) (pin 10))
+ (node (ref U19) (pin 2))
+ (node (ref R26) (pin 1))
+ (node (ref U403) (pin 10)))
+ (net (code 133) (name /EXT_AUDIO_EN)
+ (node (ref J6) (pin 10))
+ (node (ref U19) (pin 4)))
+ (net (code 134) (name iMX8.GPIO5.IO28)
(node (ref J301) (pin 5))
(node (ref U407) (pin 9)))
- (net (code 119) (name /disp/CTP_INT)
+ (net (code 135) (name /disp/CTP_INT)
(node (ref J401) (pin 9))
(node (ref U407) (pin 7)))
- (net (code 120) (name /disp/CTP_SDA)
+ (net (code 136) (name /disp/CTP_SDA)
(node (ref J401) (pin 7))
(node (ref U407) (pin 5)))
- (net (code 121) (name iMX8.I2C3.SDA)
+ (net (code 137) (name iMX8.I2C3.SDA)
(node (ref U407) (pin 3))
(node (ref J301) (pin 49)))
- (net (code 122) (name /disp/CTP_SCL)
- (node (ref U407) (pin 2))
- (node (ref J401) (pin 5)))
- (net (code 123) (name iMX8.I2C3.SCL)
- (node (ref U407) (pin 16))
- (node (ref J301) (pin 43)))
- (net (code 124) (name iMX8.GPIO5.IO29)
+ (net (code 138) (name /disp/CTP_SCL)
+ (node (ref J401) (pin 5))
+ (node (ref U407) (pin 2)))
+ (net (code 139) (name iMX8.I2C3.SCL)
+ (node (ref J301) (pin 43))
+ (node (ref U407) (pin 16)))
+ (net (code 140) (name iMX8.GPIO5.IO29)
(node (ref J301) (pin 3))
(node (ref U407) (pin 12)))
- (net (code 125) (name /disp/CTP_RST)
+ (net (code 141) (name /disp/CTP_RST)
(node (ref U407) (pin 10))
(node (ref J401) (pin 11)))
- (net (code 126) (name BT81X.CTP.RST)
+ (net (code 142) (name BT81X.CTP.RST)
(node (ref U403) (pin 29)))
- (net (code 127) (name BT81X.CTP.INT)
+ (net (code 143) (name BT81X.CTP.INT)
(node (ref U403) (pin 30)))
- (net (code 128) (name BT81X.CTP.SDA)
+ (net (code 144) (name BT81X.CTP.SDA)
(node (ref U403) (pin 32)))
- (net (code 129) (name BT81X.CTP.SCL)
+ (net (code 145) (name BT81X.CTP.SCL)
(node (ref U403) (pin 31)))
- (net (code 130) (name "Net-(J401-Pad2)")
+ (net (code 146) (name "Net-(J401-Pad2)")
(node (ref J401) (pin 2)))
- (net (code 131) (name BT81X.GPIO1)
+ (net (code 147) (name BT81X.GPIO1)
(node (ref R418) (pin 1))
(node (ref J401) (pin 23))
(node (ref U403) (pin 8)))
- (net (code 132) (name DISP_LED_K)
- (node (ref R405) (pin 1))
- (node (ref U401) (pin 3))
+ (net (code 148) (name DISP_LED_K)
(node (ref C406) (pin 1))
- (node (ref R404) (pin 1))
- (node (ref R403) (pin 1))
(node (ref J401) (pin 29))
- (node (ref D402) (pin 2)))
- (net (code 133) (name /disp/BT81X_AUDIO)
- (node (ref U403) (pin 26))
- (node (ref TP401) (pin 1)))
- (net (code 134) (name DISP_LED_A)
+ (node (ref D402) (pin 2))
+ (node (ref R405) (pin 1))
+ (node (ref R403) (pin 1))
+ (node (ref R404) (pin 1))
+ (node (ref U401) (pin 3)))
+ (net (code 149) (name DISP_LED_A)
+ (node (ref C402) (pin 1))
+ (node (ref C401) (pin 1))
(node (ref J401) (pin 27))
- (node (ref D401) (pin 1))
(node (ref D402) (pin 1))
- (node (ref C402) (pin 1))
- (node (ref C401) (pin 1)))
- (net (code 135) (name /disp/DISP_LVDS_CLKP)
- (node (ref U406) (pin 5))
- (node (ref J401) (pin 24)))
- (net (code 136) (name /disp/DISP_LVDS_CLKN)
+ (node (ref D401) (pin 1)))
+ (net (code 150) (name /disp/DISP_LVDS_CLKP)
+ (node (ref J401) (pin 24))
+ (node (ref U406) (pin 5)))
+ (net (code 151) (name /disp/DISP_LVDS_CLKN)
(node (ref U406) (pin 6))
(node (ref J401) (pin 22)))
- (net (code 137) (name /disp/DISP_LVDS_A3P)
- (node (ref U406) (pin 2))
- (node (ref J401) (pin 30)))
- (net (code 138) (name /disp/DISP_LVDS_A3N)
+ (net (code 152) (name /disp/DISP_LVDS_A3P)
+ (node (ref J401) (pin 30))
+ (node (ref U406) (pin 2)))
+ (net (code 153) (name /disp/DISP_LVDS_A3N)
(node (ref U406) (pin 3))
(node (ref J401) (pin 28)))
- (net (code 139) (name /disp/DISP_LVDS_A2P)
- (node (ref J401) (pin 18))
- (node (ref U406) (pin 8)))
- (net (code 140) (name /disp/DISP_LVDS_A2N)
+ (net (code 154) (name /disp/DISP_LVDS_A2P)
+ (node (ref U406) (pin 8))
+ (node (ref J401) (pin 18)))
+ (net (code 155) (name /disp/DISP_LVDS_A2N)
(node (ref U406) (pin 9))
(node (ref J401) (pin 16)))
- (net (code 141) (name /disp/DISP_LVDS_A1P)
- (node (ref U406) (pin 10))
- (node (ref J401) (pin 12)))
- (net (code 142) (name /disp/DISP_LVDS_A1N)
- (node (ref U406) (pin 11))
- (node (ref J401) (pin 10)))
- (net (code 143) (name /disp/DISP_LVDS_A0P)
+ (net (code 156) (name /disp/DISP_LVDS_A1P)
+ (node (ref J401) (pin 12))
+ (node (ref U406) (pin 10)))
+ (net (code 157) (name /disp/DISP_LVDS_A1N)
+ (node (ref J401) (pin 10))
+ (node (ref U406) (pin 11)))
+ (net (code 158) (name /disp/DISP_LVDS_A0P)
(node (ref U406) (pin 14))
(node (ref J401) (pin 6)))
- (net (code 144) (name /disp/DISP_LVDS_A0N)
+ (net (code 159) (name /disp/DISP_LVDS_A0N)
(node (ref J401) (pin 4))
(node (ref U406) (pin 15)))
- (net (code 145) (name "Net-(U406-Pad42)")
+ (net (code 160) (name "Net-(U406-Pad42)")
(node (ref U406) (pin 42)))
- (net (code 146) (name "Net-(U406-Pad41)")
+ (net (code 161) (name "Net-(U406-Pad41)")
(node (ref U406) (pin 41)))
- (net (code 147) (name iMX8.LVDS0.A3P)
+ (net (code 162) (name iMX8.LVDS0.A3P)
(node (ref U406) (pin 39))
(node (ref J301) (pin 57)))
- (net (code 148) (name iMX8.LVDS0.A3N)
+ (net (code 163) (name iMX8.LVDS0.A3N)
(node (ref U406) (pin 38))
(node (ref J301) (pin 59)))
- (net (code 149) (name iMX8.LVDS0.CLKP)
+ (net (code 164) (name iMX8.LVDS0.CLKP)
(node (ref U406) (pin 35))
(node (ref J301) (pin 33)))
- (net (code 150) (name iMX8.LVDS0.CLKN)
- (node (ref U406) (pin 34))
- (node (ref J301) (pin 35)))
- (net (code 151) (name iMX8.LVDS0.A2P)
+ (net (code 165) (name iMX8.LVDS0.CLKN)
+ (node (ref J301) (pin 35))
+ (node (ref U406) (pin 34)))
+ (net (code 166) (name iMX8.LVDS0.A2P)
(node (ref J301) (pin 51))
(node (ref U406) (pin 30)))
- (net (code 152) (name iMX8.LVDS0.A2N)
- (node (ref U406) (pin 29))
- (node (ref J301) (pin 53)))
- (net (code 153) (name iMX8.LVDS0.A1P)
+ (net (code 167) (name iMX8.LVDS0.A2N)
+ (node (ref J301) (pin 53))
+ (node (ref U406) (pin 29)))
+ (net (code 168) (name iMX8.LVDS0.A1P)
(node (ref J301) (pin 45))
(node (ref U406) (pin 26)))
- (net (code 154) (name iMX8.LVDS0.A1N)
- (node (ref J301) (pin 47))
- (node (ref U406) (pin 25)))
- (net (code 155) (name "Net-(U406-Pad19)")
+ (net (code 169) (name iMX8.LVDS0.A1N)
+ (node (ref U406) (pin 25))
+ (node (ref J301) (pin 47)))
+ (net (code 170) (name "Net-(U406-Pad19)")
(node (ref U406) (pin 19)))
- (net (code 156) (name iMX8.LVDS0.A0N)
- (node (ref J301) (pin 41))
- (node (ref U406) (pin 18)))
- (net (code 157) (name "Net-(U406-Pad17)")
+ (net (code 171) (name iMX8.LVDS0.A0N)
+ (node (ref U406) (pin 18))
+ (node (ref J301) (pin 41)))
+ (net (code 172) (name "Net-(U406-Pad17)")
(node (ref U406) (pin 17)))
- (net (code 158) (name iMX8.LVDS0.A0P)
- (node (ref U406) (pin 16))
- (node (ref J301) (pin 39)))
- (net (code 159) (name BT81X.GPIO0)
- (node (ref U403) (pin 7))
- (node (ref J207) (pin 8)))
- (net (code 160) (name BT81X.R4)
- (node (ref U405) (pin 43))
- (node (ref U403) (pin 61)))
- (net (code 161) (name BT81X.GPIO3)
- (node (ref R207) (pin 2))
+ (net (code 173) (name iMX8.LVDS0.A0P)
+ (node (ref J301) (pin 39))
+ (node (ref U406) (pin 16)))
+ (net (code 174) (name BT81X.GPIO0)
+ (node (ref J207) (pin 10))
+ (node (ref U403) (pin 7)))
+ (net (code 175) (name BT81X.GPIO3)
+ (node (ref U205) (pin 4))
(node (ref U403) (pin 13))
- (node (ref U205) (pin 4)))
- (net (code 162) (name BT81X.B4)
+ (node (ref R207) (pin 2)))
+ (net (code 176) (name BT81X.R0)
+ (node (ref U403) (pin 1))
+ (node (ref U405) (pin 38)))
+ (net (code 177) (name BT81X.B4)
(node (ref U405) (pin 9))
(node (ref U403) (pin 43)))
- (net (code 163) (name BT81X.B3)
+ (net (code 178) (name BT81X.B3)
(node (ref U405) (pin 8))
(node (ref U403) (pin 44)))
- (net (code 164) (name BT81X.B2)
+ (net (code 179) (name BT81X.B2)
(node (ref U405) (pin 7))
(node (ref U403) (pin 45)))
- (net (code 165) (name BT81X.PCLK)
+ (net (code 180) (name BT81X.PCLK)
(node (ref U403) (pin 39))
(node (ref U405) (pin 6)))
- (net (code 166) (name BT81X.B1)
+ (net (code 181) (name BT81X.B1)
(node (ref U403) (pin 46))
(node (ref U405) (pin 5)))
- (net (code 167) (name BT81X.G2)
+ (net (code 182) (name BT81X.G2)
(node (ref U405) (pin 47))
(node (ref U403) (pin 54)))
- (net (code 168) (name BT81X.G1)
- (node (ref U403) (pin 55))
- (node (ref U405) (pin 46)))
- (net (code 169) (name BT81X.G0)
+ (net (code 183) (name BT81X.G1)
+ (node (ref U405) (pin 46))
+ (node (ref U403) (pin 55)))
+ (net (code 184) (name BT81X.G0)
(node (ref U403) (pin 56))
(node (ref U405) (pin 45)))
- (net (code 170) (name BT81X.R5)
+ (net (code 185) (name BT81X.R5)
(node (ref U403) (pin 60))
(node (ref U405) (pin 44)))
- (net (code 171) (name BT81X.R3)
+ (net (code 186) (name BT81X.R4)
+ (node (ref U403) (pin 61))
+ (node (ref U405) (pin 43)))
+ (net (code 187) (name BT81X.R3)
(node (ref U405) (pin 42))
(node (ref U403) (pin 62)))
- (net (code 172) (name BT81X.R2)
- (node (ref U403) (pin 63))
- (node (ref U405) (pin 41)))
- (net (code 173) (name BT81X.R1)
- (node (ref U403) (pin 64))
- (node (ref U405) (pin 40)))
- (net (code 174) (name BT81X.B0)
- (node (ref U403) (pin 47))
- (node (ref U405) (pin 4)))
- (net (code 175) (name BT81X.R0)
- (node (ref U405) (pin 38))
- (node (ref U403) (pin 1)))
- (net (code 176) (name BT81X.G5)
+ (net (code 188) (name BT81X.R2)
+ (node (ref U405) (pin 41))
+ (node (ref U403) (pin 63)))
+ (net (code 189) (name BT81X.R1)
+ (node (ref U405) (pin 40))
+ (node (ref U403) (pin 64)))
+ (net (code 190) (name BT81X.B0)
+ (node (ref U405) (pin 4))
+ (node (ref U403) (pin 47)))
+ (net (code 191) (name BT81X.G5)
(node (ref U405) (pin 3))
(node (ref U403) (pin 51)))
- (net (code 177) (name "Net-(U405-Pad26)")
+ (net (code 192) (name "Net-(U405-Pad26)")
(node (ref U405) (pin 26)))
- (net (code 178) (name "Net-(U405-Pad23)")
+ (net (code 193) (name "Net-(U405-Pad23)")
(node (ref U405) (pin 23)))
- (net (code 179) (name "Net-(U405-Pad22)")
+ (net (code 194) (name "Net-(U405-Pad22)")
(node (ref U405) (pin 22)))
- (net (code 180) (name BT81X.B7)
- (node (ref U405) (pin 21))
- (node (ref U403) (pin 40)))
- (net (code 181) (name BT81X.B6)
- (node (ref U403) (pin 41))
- (node (ref U405) (pin 20)))
- (net (code 182) (name BT81X.G4)
+ (net (code 195) (name BT81X.B7)
+ (node (ref U403) (pin 40))
+ (node (ref U405) (pin 21)))
+ (net (code 196) (name BT81X.B6)
+ (node (ref U405) (pin 20))
+ (node (ref U403) (pin 41)))
+ (net (code 197) (name BT81X.G4)
(node (ref U403) (pin 52))
(node (ref U405) (pin 2)))
- (net (code 183) (name BT81X.G7)
+ (net (code 198) (name BT81X.G7)
(node (ref U403) (pin 49))
(node (ref U405) (pin 19)))
- (net (code 184) (name BT81X.G6)
- (node (ref U405) (pin 18))
- (node (ref U403) (pin 50)))
- (net (code 185) (name BT81X.R7)
- (node (ref U403) (pin 58))
- (node (ref U405) (pin 17)))
- (net (code 186) (name BT81X.R6)
+ (net (code 199) (name BT81X.G6)
+ (node (ref U403) (pin 50))
+ (node (ref U405) (pin 18)))
+ (net (code 200) (name BT81X.R7)
+ (node (ref U405) (pin 17))
+ (node (ref U403) (pin 58)))
+ (net (code 201) (name BT81X.R6)
(node (ref U405) (pin 16))
(node (ref U403) (pin 59)))
- (net (code 187) (name BT81X.DE)
+ (net (code 202) (name BT81X.DE)
(node (ref U405) (pin 14))
(node (ref U403) (pin 35)))
- (net (code 188) (name BT81X.VSYNC)
+ (net (code 203) (name BT81X.VSYNC)
(node (ref U405) (pin 12))
(node (ref U403) (pin 36)))
- (net (code 189) (name BT81X.HSYNC)
+ (net (code 204) (name BT81X.HSYNC)
(node (ref U405) (pin 11))
(node (ref U403) (pin 37)))
- (net (code 190) (name BT81X.B5)
+ (net (code 205) (name BT81X.B5)
(node (ref U405) (pin 10))
(node (ref U403) (pin 42)))
- (net (code 191) (name BT81X.G3)
- (node (ref U403) (pin 53))
- (node (ref U405) (pin 1)))
- (net (code 192) (name "Net-(JP402-Pad2)")
+ (net (code 206) (name BT81X.G3)
+ (node (ref U405) (pin 1))
+ (node (ref U403) (pin 53)))
+ (net (code 207) (name "Net-(JP402-Pad2)")
(node (ref U405) (pin 39))
(node (ref JP402) (pin 2)))
- (net (code 193) (name /disp/DS90_LVDS_CLKP)
+ (net (code 208) (name /disp/DS90_LVDS_CLKP)
(node (ref U406) (pin 33))
(node (ref U405) (pin 29)))
- (net (code 194) (name /disp/DS90_LVDS_CLKN)
- (node (ref U405) (pin 30))
- (node (ref U406) (pin 32)))
- (net (code 195) (name /disp/DS90_LVDS_A3P)
+ (net (code 209) (name /disp/DS90_LVDS_CLKN)
+ (node (ref U406) (pin 32))
+ (node (ref U405) (pin 30)))
+ (net (code 210) (name /disp/DS90_LVDS_A3P)
(node (ref U406) (pin 37))
(node (ref U405) (pin 27)))
- (net (code 196) (name /disp/DS90_LVDS_A3N)
+ (net (code 211) (name /disp/DS90_LVDS_A3N)
(node (ref U406) (pin 36))
(node (ref U405) (pin 28)))
- (net (code 197) (name /disp/DS90_LVDS_A2P)
- (node (ref U405) (pin 31))
- (node (ref U406) (pin 28)))
- (net (code 198) (name /disp/DS90_LVDS_A2N)
+ (net (code 212) (name /disp/DS90_LVDS_A2P)
+ (node (ref U406) (pin 28))
+ (node (ref U405) (pin 31)))
+ (net (code 213) (name /disp/DS90_LVDS_A2N)
(node (ref U405) (pin 32))
(node (ref U406) (pin 27)))
- (net (code 199) (name /disp/DS90_LVDS_A1P)
+ (net (code 214) (name /disp/DS90_LVDS_A1P)
(node (ref U405) (pin 33))
(node (ref U406) (pin 24)))
- (net (code 200) (name /disp/DS90_LVDS_A1N)
+ (net (code 215) (name /disp/DS90_LVDS_A1N)
(node (ref U406) (pin 23))
(node (ref U405) (pin 34)))
- (net (code 201) (name /disp/DS90_LVDS_A0P)
- (node (ref U405) (pin 35))
- (node (ref U406) (pin 20)))
- (net (code 202) (name /disp/DS90_LVDS_A0N)
- (node (ref U405) (pin 36))
- (node (ref U406) (pin 21)))
- (net (code 203) (name "Net-(R401-Pad2)")
- (node (ref U402) (pin 7))
- (node (ref U402) (pin 6))
+ (net (code 216) (name /disp/DS90_LVDS_A0P)
+ (node (ref U406) (pin 20))
+ (node (ref U405) (pin 35)))
+ (net (code 217) (name /disp/DS90_LVDS_A0N)
+ (node (ref U406) (pin 21))
+ (node (ref U405) (pin 36)))
+ (net (code 218) (name "Net-(R401-Pad2)")
(node (ref R401) (pin 2))
- (node (ref U402) (pin 5)))
- (net (code 204) (name BT81X.BL_PWM)
- (node (ref U402) (pin 3))
- (node (ref U403) (pin 34)))
- (net (code 205) (name iMX8.PWM1)
+ (node (ref U402) (pin 5))
+ (node (ref U402) (pin 6))
+ (node (ref U402) (pin 7)))
+ (net (code 219) (name BT81X.BL_PWM)
+ (node (ref U403) (pin 34))
+ (node (ref U402) (pin 3)))
+ (net (code 220) (name iMX8.PWM1)
(node (ref J301) (pin 200))
(node (ref U402) (pin 1)))
- (net (code 206) (name BT81X.DISP)
+ (net (code 221) (name BT81X.DISP)
(node (ref U405) (pin 37))
(node (ref U403) (pin 38))
(node (ref U406) (pin 12))
(node (ref U407) (pin 15)))
- (net (code 207) (name "Net-(R402-Pad2)")
- (node (ref U402) (pin 2))
+ (net (code 222) (name "Net-(R402-Pad2)")
(node (ref R402) (pin 2))
+ (node (ref U402) (pin 2))
(node (ref U401) (pin 4)))
- (net (code 208) (name "Net-(D401-Pad2)")
- (node (ref L401) (pin 2))
+ (net (code 223) (name "Net-(D401-Pad2)")
+ (node (ref U401) (pin 1))
(node (ref D401) (pin 2))
- (node (ref U401) (pin 1)))
- (net (code 209) (name /disp/BT81X_QSPI_DQ_3)
+ (node (ref L401) (pin 2)))
+ (net (code 224) (name /disp/BT81X_QSPI_DQ_3)
(node (ref R410) (pin 2))
- (node (ref U404) (pin 7))
- (node (ref U403) (pin 20)))
- (net (code 210) (name /disp/BT81X_QSPI_CLK)
+ (node (ref U403) (pin 20))
+ (node (ref U404) (pin 7)))
+ (net (code 225) (name /disp/BT81X_QSPI_CLK)
(node (ref U404) (pin 6))
(node (ref U403) (pin 14)))
- (net (code 211) (name /disp/BT81X_QSPI_DQ_0)
+ (net (code 226) (name /disp/BT81X_QSPI_DQ_0)
(node (ref U403) (pin 16))
(node (ref U404) (pin 5)))
- (net (code 212) (name /disp/BT81X_QSPI_DQ_2)
- (node (ref R411) (pin 2))
+ (net (code 227) (name /disp/BT81X_QSPI_DQ_2)
(node (ref U403) (pin 19))
+ (node (ref R411) (pin 2))
(node (ref U404) (pin 3)))
- (net (code 213) (name /disp/BT81X_QSPI_DQ_1)
- (node (ref U403) (pin 18))
- (node (ref U404) (pin 2)))
- (net (code 214) (name /disp/BT81X_QSPI_CS)
+ (net (code 228) (name /disp/BT81X_QSPI_DQ_1)
+ (node (ref U404) (pin 2))
+ (node (ref U403) (pin 18)))
+ (net (code 229) (name /disp/BT81X_QSPI_CS)
(node (ref U403) (pin 15))
- (node (ref R409) (pin 2))
- (node (ref U404) (pin 1)))
- (net (code 215) (name "Net-(C408-Pad1)")
+ (node (ref U404) (pin 1))
+ (node (ref R409) (pin 2)))
+ (net (code 230) (name "Net-(C408-Pad1)")
(node (ref C408) (pin 1))
- (node (ref R406) (pin 2))
- (node (ref U403) (pin 12)))
- (net (code 216) (name /disp/BT81X_X2)
- (node (ref U403) (pin 22))
+ (node (ref U403) (pin 12))
+ (node (ref R406) (pin 2)))
+ (net (code 231) (name /disp/BT81X_X2)
+ (node (ref C410) (pin 1))
(node (ref Y401) (pin 2))
- (node (ref C410) (pin 1)))
- (net (code 217) (name /disp/BT81X_X1)
+ (node (ref U403) (pin 22)))
+ (net (code 232) (name /disp/BT81X_X1)
+ (node (ref C409) (pin 1))
(node (ref Y401) (pin 1))
- (node (ref U403) (pin 21))
- (node (ref C409) (pin 1)))
- (net (code 218) (name /disp/BT81X_1V2)
+ (node (ref U403) (pin 21)))
+ (net (code 233) (name /disp/BT81X_1V2)
+ (node (ref C419) (pin 1))
+ (node (ref U403) (pin 2))
+ (node (ref C415) (pin 1))
(node (ref C418) (pin 1))
(node (ref U403) (pin 57))
- (node (ref C419) (pin 1))
- (node (ref U403) (pin 25))
(node (ref C417) (pin 1))
- (node (ref U403) (pin 2))
(node (ref C416) (pin 1))
- (node (ref C415) (pin 1)))
- (net (code 219) (name ESP32.UART0.RXD)
- (node (ref J205) (pin 1))
- (node (ref U208) (pin 2))
- (node (ref U201) (pin 34)))
- (net (code 220) (name /periph/USB_RXD)
- (node (ref U207) (pin 2))
- (node (ref U208) (pin 5)))
- (net (code 221) (name "Net-(C222-Pad1)")
- (node (ref C222) (pin 1))
- (node (ref U205) (pin 1)))
- (net (code 222) (name "Net-(J203-Pad1)")
- (node (ref U205) (pin 7))
- (node (ref J203) (pin 1)))
- (net (code 223) (name "Net-(J203-Pad2)")
- (node (ref U205) (pin 9))
- (node (ref J203) (pin 2)))
- (net (code 224) (name "Net-(J204-Pad1)")
+ (node (ref U403) (pin 25)))
+ (net (code 234) (name "Net-(J204-Pad1)")
(node (ref J204) (pin 1)))
- (net (code 225) (name "Net-(J204-Pad10)")
+ (net (code 235) (name "Net-(J204-Pad10)")
(node (ref J204) (pin 10)))
- (net (code 226) (name "Net-(J204-Pad8)")
+ (net (code 236) (name "Net-(J204-Pad8)")
(node (ref J204) (pin 8)))
- (net (code 227) (name "Net-(J204-Pad9)")
+ (net (code 237) (name "Net-(J204-Pad9)")
(node (ref J204) (pin 9)))
- (net (code 228) (name /periph/ESP32.EN)
+ (net (code 238) (name /periph/PERST)
+ (node (ref SW202) (pin 1))
+ (node (ref C220) (pin 1))
+ (node (ref J201) (pin 22)))
+ (net (code 239) (name /periph/ESP32_EN)
(node (ref SW201) (pin 1))
- (node (ref U201) (pin 3))
+ (node (ref C219) (pin 1))
(node (ref R201) (pin 2))
(node (ref C203) (pin 1))
- (node (ref C219) (pin 1)))
- (net (code 230) (name /periph/PERST)
- (node (ref J201) (pin 22))
- (node (ref C220) (pin 1))
- (node (ref SW202) (pin 1)))
- (net (code 231) (name ESP32.IO26)
- (node (ref J301) (pin 7))
- (node (ref U201) (pin 11)))
- (net (code 232) (name "Net-(U201-Pad4)")
+ (node (ref U201) (pin 3)))
+ (net (code 240) (name ESP32.IO26)
+ (node (ref U201) (pin 11))
+ (node (ref J301) (pin 7)))
+ (net (code 241) (name "Net-(U201-Pad4)")
(node (ref U201) (pin 4)))
- (net (code 233) (name "Net-(U201-Pad19)")
+ (net (code 242) (name "Net-(J206-Pad10)")
+ (node (ref J206) (pin 10)))
+ (net (code 243) (name "Net-(J206-Pad8)")
+ (node (ref J206) (pin 8)))
+ (net (code 244) (name "Net-(J207-Pad13)")
+ (node (ref J207) (pin 13)))
+ (net (code 245) (name "Net-(J207-Pad15)")
+ (node (ref J207) (pin 15)))
+ (net (code 246) (name /periph/PCM_DI)
+ (node (ref J201) (pin 49))
+ (node (ref U203) (pin 4)))
+ (net (code 247) (name "Net-(U201-Pad19)")
(node (ref U201) (pin 19)))
- (net (code 234) (name "Net-(U201-Pad20)")
+ (net (code 248) (name "Net-(U201-Pad20)")
(node (ref U201) (pin 20)))
- (net (code 235) (name "Net-(U201-Pad21)")
+ (net (code 249) (name "Net-(U201-Pad21)")
(node (ref U201) (pin 21)))
- (net (code 236) (name "Net-(U201-Pad22)")
+ (net (code 250) (name "Net-(U201-Pad22)")
(node (ref U201) (pin 22)))
- (net (code 237) (name "Net-(J201-Pad1)")
+ (net (code 251) (name "Net-(J201-Pad1)")
(node (ref J201) (pin 1)))
- (net (code 238) (name "Net-(J201-Pad3)")
+ (net (code 252) (name "Net-(J201-Pad3)")
(node (ref J201) (pin 3)))
- (net (code 239) (name "Net-(J201-Pad5)")
+ (net (code 253) (name "Net-(J201-Pad5)")
(node (ref J201) (pin 5)))
- (net (code 240) (name "Net-(J201-Pad7)")
+ (net (code 254) (name "Net-(J201-Pad7)")
(node (ref J201) (pin 7)))
- (net (code 241) (name "Net-(J201-Pad23)")
+ (net (code 255) (name "Net-(J201-Pad23)")
(node (ref J201) (pin 23)))
- (net (code 242) (name "Net-(J201-Pad25)")
+ (net (code 256) (name "Net-(J201-Pad25)")
(node (ref J201) (pin 25)))
- (net (code 243) (name "Net-(J201-Pad33)")
+ (net (code 257) (name "Net-(J201-Pad33)")
(node (ref J201) (pin 33)))
- (net (code 244) (name "Net-(J201-Pad48)")
+ (net (code 258) (name "Net-(J201-Pad48)")
(node (ref J201) (pin 48)))
- (net (code 245) (name "Net-(J201-Pad42)")
+ (net (code 259) (name "Net-(J201-Pad42)")
(node (ref J201) (pin 42)))
- (net (code 246) (name "Net-(J201-Pad32)")
+ (net (code 260) (name "Net-(J201-Pad32)")
(node (ref J201) (pin 32)))
- (net (code 247) (name "Net-(J201-Pad30)")
+ (net (code 261) (name "Net-(J201-Pad30)")
(node (ref J201) (pin 30)))
- (net (code 248) (name "Net-(J201-Pad28)")
+ (net (code 262) (name "Net-(J201-Pad28)")
(node (ref J201) (pin 28)))
- (net (code 249) (name "Net-(J201-Pad20)")
+ (net (code 263) (name "Net-(J201-Pad20)")
(node (ref J201) (pin 20)))
- (net (code 250) (name "Net-(J201-Pad16)")
+ (net (code 264) (name "Net-(J201-Pad16)")
(node (ref J201) (pin 16)))
- (net (code 251) (name "Net-(J201-Pad6)")
+ (net (code 265) (name "Net-(J201-Pad6)")
(node (ref J201) (pin 6)))
- (net (code 252) (name "Net-(J202-Pad6)")
+ (net (code 266) (name "Net-(J202-Pad6)")
(node (ref J202) (pin 6)))
- (net (code 253) (name "Net-(J201-Pad10)")
- (node (ref R204) (pin 2))
- (node (ref J201) (pin 10)))
- (net (code 254) (name "Net-(C216-Pad1)")
- (node (ref C216) (pin 1))
+ (net (code 267) (name "Net-(J201-Pad10)")
+ (node (ref J201) (pin 10))
+ (node (ref R204) (pin 2)))
+ (net (code 268) (name "Net-(C216-Pad1)")
(node (ref J202) (pin 3))
+ (node (ref C216) (pin 1))
(node (ref R205) (pin 1)))
- (net (code 255) (name "Net-(J201-Pad12)")
- (node (ref R205) (pin 2))
- (node (ref J201) (pin 12)))
- (net (code 256) (name "Net-(C215-Pad1)")
- (node (ref C215) (pin 1))
+ (net (code 269) (name "Net-(J201-Pad12)")
+ (node (ref J201) (pin 12))
+ (node (ref R205) (pin 2)))
+ (net (code 270) (name "Net-(C215-Pad1)")
+ (node (ref R206) (pin 1))
(node (ref J202) (pin 2))
- (node (ref R206) (pin 1)))
- (net (code 257) (name "Net-(C217-Pad1)")
- (node (ref J202) (pin 7))
+ (node (ref C215) (pin 1)))
+ (net (code 271) (name "Net-(C217-Pad1)")
(node (ref R204) (pin 1))
- (node (ref C217) (pin 1)))
- (net (code 258) (name "Net-(J201-Pad14)")
- (node (ref J201) (pin 14))
- (node (ref R206) (pin 2)))
- (net (code 259) (name /periph/ESP32.U2.RXD)
+ (node (ref C217) (pin 1))
+ (node (ref J202) (pin 7)))
+ (net (code 272) (name "Net-(J201-Pad14)")
+ (node (ref R206) (pin 2))
+ (node (ref J201) (pin 14)))
+ (net (code 273) (name "Net-(C222-Pad1)")
+ (node (ref C222) (pin 1))
+ (node (ref U205) (pin 1)))
+ (net (code 274) (name "Net-(J203-Pad1)")
+ (node (ref J203) (pin 1))
+ (node (ref U205) (pin 7)))
+ (net (code 275) (name "Net-(J203-Pad2)")
+ (node (ref U205) (pin 9))
+ (node (ref J203) (pin 2)))
+ (net (code 276) (name /periph/ESP32_UART2_RXD)
(node (ref JP205) (pin 1))
(node (ref U201) (pin 28))
(node (ref U204) (pin 11)))
- (net (code 260) (name /periph/ESP32.U2.TXD)
- (node (ref JP204) (pin 1))
+ (net (code 277) (name /periph/ESP32_UART2_TXD)
(node (ref U204) (pin 10))
- (node (ref U201) (pin 27)))
- (net (code 261) (name /periph/Quectel.UART.RXD)
+ (node (ref U201) (pin 27))
+ (node (ref JP204) (pin 1)))
+ (net (code 278) (name /periph/Quectel_UART_RXD)
(node (ref J201) (pin 11))
(node (ref JP204) (pin 2)))
- (net (code 262) (name /periph/Quectel.UART.TXD)
- (node (ref J201) (pin 13))
- (node (ref JP205) (pin 2)))
- (net (code 263) (name /periph/Quectel.UART.RI)
+ (net (code 279) (name /periph/Quectel_UART_TXD)
+ (node (ref JP205) (pin 2))
+ (node (ref J201) (pin 13)))
+ (net (code 280) (name /periph/Quectel_UART_RI)
+ (node (ref J201) (pin 17))
(node (ref JP203) (pin 2))
- (node (ref U204) (pin 5))
- (node (ref J201) (pin 17)))
- (net (code 264) (name /periph/Quectel.UART.DTR)
- (node (ref J201) (pin 31))
- (node (ref JP202) (pin 2)))
- (net (code 265) (name /periph/SIMCom.UART.TXD)
- (node (ref U204) (pin 4))
- (node (ref J201) (pin 19)))
- (net (code 266) (name /periph/SIMCom.UART.RI)
+ (node (ref U204) (pin 5)))
+ (net (code 281) (name /periph/Quectel_UART_DTR)
+ (node (ref JP202) (pin 2))
+ (node (ref J201) (pin 31)))
+ (net (code 282) (name /periph/SIMCom_UART_TXD)
+ (node (ref J201) (pin 19))
+ (node (ref U204) (pin 4)))
+ (net (code 283) (name /periph/SIMCom_UART_RI)
(node (ref U204) (pin 2))
(node (ref J201) (pin 44)))
- (net (code 267) (name /periph/SIMCom.UART.DTR)
+ (net (code 284) (name /periph/SIMCom_UART_DTR)
(node (ref U204) (pin 3))
(node (ref J201) (pin 46)))
- (net (code 268) (name /periph/ESP32.U2.DTR)
- (node (ref JP202) (pin 1))
+ (net (code 285) (name /periph/ESP32_UART2_DTR)
(node (ref U204) (pin 12))
- (node (ref U201) (pin 8)))
- (net (code 269) (name /periph/ESP32.U2.RI)
+ (node (ref U201) (pin 8))
+ (node (ref JP202) (pin 1)))
+ (net (code 286) (name /periph/ESP32_UART2_RI)
+ (node (ref JP203) (pin 1))
(node (ref U204) (pin 13))
- (node (ref U201) (pin 7))
- (node (ref JP203) (pin 1)))
- (net (code 270) (name /periph/ESP32.PCM.DO)
+ (node (ref U201) (pin 7)))
+ (net (code 287) (name /periph/ESP32_PCM_DO)
(node (ref U203) (pin 11))
(node (ref U201) (pin 24)))
- (net (code 271) (name /periph/ESP32.PCM.CLK)
+ (net (code 288) (name /periph/ESP32_PCM_CLK)
(node (ref U203) (pin 13))
(node (ref U201) (pin 9)))
- (net (code 272) (name /periph/ESP32.PCM.DI)
+ (net (code 289) (name /periph/ESP32_PCM_DI)
(node (ref U201) (pin 6))
(node (ref U203) (pin 12)))
- (net (code 273) (name /periph/Modem.PCM.CLK)
- (node (ref U203) (pin 2))
- (node (ref J201) (pin 45)))
- (net (code 274) (name /periph/Modem.PCM.DO)
- (node (ref U203) (pin 3))
- (node (ref J201) (pin 47)))
- (net (code 275) (name /periph/Modem.PCM.DI)
- (node (ref U203) (pin 4))
- (node (ref J201) (pin 49)))
- (net (code 276) (name /periph/Modem.PCM.SYNC)
+ (net (code 290) (name /periph/PCM_CLK)
+ (node (ref J201) (pin 45))
+ (node (ref U203) (pin 2)))
+ (net (code 291) (name /periph/PCM_DO)
+ (node (ref J201) (pin 47))
+ (node (ref U203) (pin 3)))
+ (net (code 292) (name /periph/PCM_SYNC)
(node (ref U203) (pin 5))
(node (ref J201) (pin 51)))
- (net (code 277) (name /periph/ESP32.VSPI.SS0)
- (node (ref R202) (pin 2))
+ (net (code 293) (name /periph/ESP32_VSPI_SS0)
(node (ref U202) (pin 3))
- (node (ref U201) (pin 29)))
- (net (code 278) (name /periph/ESP32.VSPI.SCK)
- (node (ref U202) (pin 12))
- (node (ref U201) (pin 30)))
- (net (code 279) (name /periph/ESP32.VSPI.MISO)
- (node (ref U202) (pin 5))
- (node (ref U201) (pin 31)))
- (net (code 280) (name /periph/ESP32.VSPI.MOSI)
+ (node (ref U201) (pin 29))
+ (node (ref R202) (pin 2)))
+ (net (code 294) (name /periph/ESP32_VSPI_SCK)
+ (node (ref U201) (pin 30))
+ (node (ref U202) (pin 12)))
+ (net (code 295) (name /periph/ESP32_VSPI_MISO)
+ (node (ref U201) (pin 31))
+ (node (ref U202) (pin 5)))
+ (net (code 296) (name /periph/ESP32_VSPI_MOSI)
(node (ref U201) (pin 37))
(node (ref U202) (pin 9)))
- (net (code 281) (name ESP32.HSPI.SCK)
+ (net (code 297) (name ESP32.HSPI.SCK)
(node (ref U201) (pin 13))
(node (ref J301) (pin 13)))
- (net (code 282) (name ESP32.HSPI.MISO)
+ (net (code 298) (name ESP32.HSPI.MISO)
(node (ref U201) (pin 14))
(node (ref J301) (pin 15)))
- (net (code 283) (name ESP32.HSPI.SS0)
+ (net (code 299) (name ESP32.HSPI.SS0)
(node (ref J301) (pin 17))
(node (ref U201) (pin 23)))
- (net (code 284) (name ESP32.HSPI.MOSI)
- (node (ref J301) (pin 11))
- (node (ref U201) (pin 16)))
- (net (code 285) (name ESP32.IO27)
+ (net (code 300) (name ESP32.HSPI.MOSI)
+ (node (ref U201) (pin 16))
+ (node (ref J301) (pin 11)))
+ (net (code 301) (name ESP32.IO27)
(node (ref U201) (pin 12))
(node (ref J301) (pin 9)))
- (net (code 286) (name "Net-(U203-Pad6)")
+ (net (code 302) (name "Net-(U203-Pad6)")
(node (ref U203) (pin 6)))
- (net (code 287) (name "Net-(U203-Pad9)")
+ (net (code 303) (name "Net-(U203-Pad9)")
(node (ref U203) (pin 9)))
- (net (code 288) (name "Net-(U204-Pad6)")
+ (net (code 304) (name "Net-(U204-Pad6)")
(node (ref U204) (pin 6)))
- (net (code 289) (name "Net-(U204-Pad9)")
+ (net (code 305) (name "Net-(U204-Pad9)")
(node (ref U204) (pin 9)))
- (net (code 290) (name "Net-(C214-Pad1)")
+ (net (code 306) (name "Net-(C214-Pad1)")
(node (ref J202) (pin 1))
(node (ref C214) (pin 1))
(node (ref J201) (pin 8)))
- (net (code 291) (name /periph/ESP32.PCM.SYNC)
+ (net (code 307) (name /periph/ESP32_PCM_SYNC)
(node (ref U201) (pin 26))
(node (ref U203) (pin 10)))
- (net (code 292) (name ESP32.UART0.TXD)
- (node (ref U201) (pin 35))
+ (net (code 308) (name ESP32.UART0.TXD)
+ (node (ref U208) (pin 6))
(node (ref J205) (pin 2))
- (node (ref U208) (pin 6)))
- (net (code 293) (name iMX8.USB1.D_N)
+ (node (ref U201) (pin 35)))
+ (net (code 309) (name ESP32.UART0.RXD)
+ (node (ref J205) (pin 1))
+ (node (ref U201) (pin 34))
+ (node (ref U208) (pin 2)))
+ (net (code 310) (name iMX8.USB1.D_N)
(node (ref J201) (pin 36))
(node (ref J301) (pin 178)))
- (net (code 294) (name iMX8.USB1.D_P)
- (node (ref J201) (pin 38))
- (node (ref J301) (pin 176)))
- (net (code 295) (name "Net-(U201-Pad5)")
+ (net (code 311) (name iMX8.USB1.D_P)
+ (node (ref J301) (pin 176))
+ (node (ref J201) (pin 38)))
+ (net (code 312) (name "Net-(U201-Pad5)")
(node (ref U201) (pin 5)))
- (net (code 296) (name "Net-(U201-Pad10)")
- (node (ref U201) (pin 10)))
- (net (code 297) (name "Net-(U201-Pad32)")
+ (net (code 313) (name "Net-(U201-Pad32)")
(node (ref U201) (pin 32)))
- (net (code 298) (name "Net-(U201-Pad17)")
+ (net (code 314) (name "Net-(U201-Pad17)")
(node (ref U201) (pin 17)))
- (net (code 299) (name "Net-(U201-Pad18)")
+ (net (code 315) (name "Net-(U201-Pad18)")
(node (ref U201) (pin 18)))
- (net (code 300) (name "Net-(U206-Pad11)")
- (node (ref U206) (pin 11)))
- (net (code 301) (name "Net-(U206-Pad13)")
+ (net (code 316) (name "Net-(U206-Pad13)")
(node (ref U206) (pin 13)))
- (net (code 302) (name "Net-(U206-Pad12)")
+ (net (code 317) (name "Net-(U206-Pad12)")
(node (ref U206) (pin 12)))
- (net (code 303) (name "Net-(C225-Pad1)")
+ (net (code 318) (name "Net-(U206-Pad11)")
+ (node (ref U206) (pin 11)))
+ (net (code 319) (name "Net-(U206-Pad9)")
+ (node (ref U206) (pin 9)))
+ (net (code 320) (name "Net-(U206-Pad10)")
+ (node (ref U206) (pin 10)))
+ (net (code 321) (name "Net-(C225-Pad1)")
(node (ref C225) (pin 1))
(node (ref U206) (pin 21)))
- (net (code 304) (name "Net-(C226-Pad1)")
+ (net (code 322) (name "Net-(C226-Pad1)")
(node (ref C226) (pin 1))
(node (ref U206) (pin 24)))
- (net (code 305) (name "Net-(U206-Pad9)")
- (node (ref U206) (pin 9)))
- (net (code 306) (name "Net-(U206-Pad10)")
- (node (ref U206) (pin 10)))
- (net (code 307) (name /periph/USB_CBUS3)
+ (net (code 323) (name /periph/USB_RXD)
+ (node (ref U207) (pin 2))
+ (node (ref U208) (pin 5)))
+ (net (code 324) (name /periph/USB_CBUS3)
+ (node (ref R210) (pin 1))
(node (ref U207) (pin 14))
- (node (ref R209) (pin 2))
- (node (ref R210) (pin 1)))
- (net (code 308) (name "Net-(U207-Pad5)")
+ (node (ref R209) (pin 2)))
+ (net (code 325) (name "Net-(U207-Pad5)")
(node (ref U207) (pin 5)))
- (net (code 309) (name "Net-(U207-Pad16)")
+ (net (code 326) (name "Net-(U207-Pad16)")
(node (ref U207) (pin 16)))
- (net (code 310) (name /periph/USB_CBUS1)
- (node (ref U208) (pin 10))
- (node (ref U207) (pin 11)))
- (net (code 311) (name /periph/USB_CBUS0)
- (node (ref U207) (pin 12))
- (node (ref U208) (pin 8)))
- (net (code 312) (name /periph/USB_TXD)
- (node (ref U208) (pin 3))
- (node (ref U207) (pin 15)))
- (net (code 313) (name "Net-(J205-Pad12)")
+ (net (code 327) (name /periph/USB_CBUS1)
+ (node (ref U207) (pin 11))
+ (node (ref U208) (pin 10)))
+ (net (code 328) (name /periph/USB_CBUS0)
+ (node (ref U208) (pin 8))
+ (node (ref U207) (pin 12)))
+ (net (code 329) (name /periph/USB_TXD)
+ (node (ref U207) (pin 15))
+ (node (ref U208) (pin 3)))
+ (net (code 330) (name "Net-(J205-Pad12)")
(node (ref J205) (pin 12)))
- (net (code 314) (name "Net-(JP201-Pad2)")
+ (net (code 331) (name "Net-(JP201-Pad2)")
(node (ref JP201) (pin 2))
(node (ref U204) (pin 8)))
- (net (code 315) (name "Net-(J301-Pad76)")
+ (net (code 332) (name "Net-(J303-Pad2)")
+ (node (ref J303) (pin 2)))
+ (net (code 333) (name "Net-(J303-Pad1)")
+ (node (ref J303) (pin 1)))
+ (net (code 334) (name /exp/UART3_TX)
+ (node (ref J303) (pin 4))
+ (node (ref J301) (pin 111)))
+ (net (code 335) (name /exp/UART3_RX)
+ (node (ref J303) (pin 3))
+ (node (ref J301) (pin 117)))
+ (net (code 336) (name "Net-(J301-Pad76)")
(node (ref J301) (pin 76)))
- (net (code 316) (name /exp/POWER)
+ (net (code 337) (name /exp/POWER)
(node (ref SW303) (pin 1))
(node (ref J301) (pin 165)))
- (net (code 317) (name iMX8.USB1.VBUS)
- (node (ref J301) (pin 180))
- (node (ref JP301) (pin 2)))
- (net (code 318) (name iMX8.USB1.ID)
+ (net (code 338) (name iMX8.USB1.VBUS)
+ (node (ref JP301) (pin 2))
+ (node (ref J301) (pin 180)))
+ (net (code 339) (name iMX8.USB1.ID)
(node (ref JP302) (pin 2))
(node (ref J301) (pin 174)))
- (net (code 319) (name /exp/RESET)
- (node (ref SW302) (pin 1))
- (node (ref J301) (pin 171)))
- (net (code 320) (name /exp/SD2_DET)
+ (net (code 340) (name /exp/RESET)
+ (node (ref J301) (pin 171))
+ (node (ref SW302) (pin 1)))
+ (net (code 341) (name /exp/SD2_DET)
(node (ref J301) (pin 61))
(node (ref J302) (pin 9))
(node (ref R301) (pin 2)))
- (net (code 321) (name /exp/ALT_BOOT)
- (node (ref SW301) (pin 1))
- (node (ref J301) (pin 185)))
- (net (code 322) (name "Net-(J301-Pad75)")
+ (net (code 342) (name /exp/ALT_BOOT)
+ (node (ref J301) (pin 185))
+ (node (ref SW301) (pin 1)))
+ (net (code 343) (name "Net-(J301-Pad75)")
(node (ref J301) (pin 75)))
- (net (code 323) (name "Net-(J301-Pad149)")
+ (net (code 344) (name "Net-(J301-Pad149)")
(node (ref J301) (pin 149)))
- (net (code 324) (name "Net-(J301-Pad153)")
+ (net (code 345) (name "Net-(J301-Pad153)")
(node (ref J301) (pin 153)))
- (net (code 325) (name "Net-(J301-Pad151)")
+ (net (code 346) (name "Net-(J301-Pad151)")
(node (ref J301) (pin 151)))
- (net (code 326) (name "Net-(J301-Pad135)")
+ (net (code 347) (name "Net-(J301-Pad135)")
(node (ref J301) (pin 135)))
- (net (code 327) (name "Net-(J301-Pad129)")
+ (net (code 348) (name "Net-(J301-Pad129)")
(node (ref J301) (pin 129)))
- (net (code 328) (name /exp/SD2_DAT3)
+ (net (code 349) (name /exp/SD2_DAT3)
(node (ref J301) (pin 90))
(node (ref J302) (pin 2)))
- (net (code 329) (name /exp/SD2_DAT2)
+ (net (code 350) (name /exp/SD2_DAT2)
(node (ref J301) (pin 88))
(node (ref J302) (pin 1)))
- (net (code 330) (name /exp/SD2_DAT1)
+ (net (code 351) (name /exp/SD2_DAT1)
(node (ref J301) (pin 86))
(node (ref J302) (pin 8)))
- (net (code 331) (name /exp/SD2_DAT0)
+ (net (code 352) (name /exp/SD2_DAT0)
(node (ref J301) (pin 84))
(node (ref J302) (pin 7)))
- (net (code 332) (name /exp/SD2_CMD)
- (node (ref J301) (pin 82))
- (node (ref J302) (pin 3)))
- (net (code 333) (name /exp/SD2_CLK)
- (node (ref J301) (pin 80))
- (node (ref J302) (pin 5)))
- (net (code 334) (name "Net-(J301-Pad99)")
+ (net (code 353) (name /exp/SD2_CMD)
+ (node (ref J302) (pin 3))
+ (node (ref J301) (pin 82)))
+ (net (code 354) (name /exp/SD2_CLK)
+ (node (ref J302) (pin 5))
+ (node (ref J301) (pin 80)))
+ (net (code 355) (name "Net-(J301-Pad99)")
(node (ref J301) (pin 99)))
- (net (code 335) (name "Net-(J301-Pad98)")
+ (net (code 356) (name "Net-(J301-Pad98)")
(node (ref J301) (pin 98)))
- (net (code 336) (name "Net-(J301-Pad97)")
+ (net (code 357) (name "Net-(J301-Pad97)")
(node (ref J301) (pin 97)))
- (net (code 337) (name "Net-(J301-Pad95)")
+ (net (code 358) (name "Net-(J301-Pad95)")
(node (ref J301) (pin 95)))
- (net (code 338) (name "Net-(J301-Pad94)")
+ (net (code 359) (name "Net-(J301-Pad94)")
(node (ref J301) (pin 94)))
- (net (code 339) (name "Net-(J301-Pad93)")
+ (net (code 360) (name "Net-(J301-Pad93)")
(node (ref J301) (pin 93)))
- (net (code 340) (name "Net-(J301-Pad92)")
+ (net (code 361) (name "Net-(J301-Pad92)")
(node (ref J301) (pin 92)))
- (net (code 341) (name "Net-(J301-Pad91)")
+ (net (code 362) (name "Net-(J301-Pad91)")
(node (ref J301) (pin 91)))
- (net (code 342) (name "Net-(J301-Pad89)")
+ (net (code 363) (name "Net-(J301-Pad89)")
(node (ref J301) (pin 89)))
- (net (code 343) (name "Net-(J301-Pad85)")
+ (net (code 364) (name "Net-(J301-Pad85)")
(node (ref J301) (pin 85)))
- (net (code 344) (name "Net-(J301-Pad83)")
+ (net (code 365) (name "Net-(J301-Pad83)")
(node (ref J301) (pin 83)))
- (net (code 345) (name "Net-(J301-Pad81)")
+ (net (code 366) (name "Net-(J301-Pad81)")
(node (ref J301) (pin 81)))
- (net (code 346) (name "Net-(J301-Pad8)")
+ (net (code 367) (name "Net-(J301-Pad8)")
(node (ref J301) (pin 8)))
- (net (code 347) (name "Net-(J301-Pad79)")
+ (net (code 368) (name "Net-(J301-Pad79)")
(node (ref J301) (pin 79)))
- (net (code 348) (name "Net-(J301-Pad77)")
+ (net (code 369) (name "Net-(J301-Pad77)")
(node (ref J301) (pin 77)))
- (net (code 349) (name "Net-(J301-Pad74)")
+ (net (code 370) (name "Net-(J301-Pad74)")
(node (ref J301) (pin 74)))
- (net (code 350) (name "Net-(J301-Pad73)")
+ (net (code 371) (name "Net-(J301-Pad73)")
(node (ref J301) (pin 73)))
- (net (code 351) (name "Net-(J301-Pad72)")
+ (net (code 372) (name "Net-(J301-Pad72)")
(node (ref J301) (pin 72)))
- (net (code 352) (name "Net-(J301-Pad70)")
+ (net (code 373) (name "Net-(J301-Pad70)")
(node (ref J301) (pin 70)))
- (net (code 353) (name "Net-(J301-Pad69)")
+ (net (code 374) (name "Net-(J301-Pad69)")
(node (ref J301) (pin 69)))
- (net (code 354) (name "Net-(J301-Pad68)")
+ (net (code 375) (name "Net-(J301-Pad68)")
(node (ref J301) (pin 68)))
- (net (code 355) (name "Net-(J301-Pad66)")
+ (net (code 376) (name "Net-(J301-Pad66)")
(node (ref J301) (pin 66)))
- (net (code 356) (name "Net-(J301-Pad65)")
+ (net (code 377) (name "Net-(J301-Pad65)")
(node (ref J301) (pin 65)))
- (net (code 357) (name "Net-(J301-Pad63)")
+ (net (code 378) (name "Net-(J301-Pad63)")
(node (ref J301) (pin 63)))
- (net (code 358) (name "Net-(J301-Pad62)")
+ (net (code 379) (name "Net-(J301-Pad62)")
(node (ref J301) (pin 62)))
- (net (code 359) (name "Net-(J301-Pad60)")
+ (net (code 380) (name "Net-(J301-Pad60)")
(node (ref J301) (pin 60)))
- (net (code 360) (name "Net-(J301-Pad6)")
+ (net (code 381) (name "Net-(J301-Pad6)")
(node (ref J301) (pin 6)))
- (net (code 361) (name "Net-(J301-Pad58)")
+ (net (code 382) (name "Net-(J301-Pad58)")
(node (ref J301) (pin 58)))
- (net (code 362) (name "Net-(J301-Pad56)")
+ (net (code 383) (name "Net-(J301-Pad56)")
(node (ref J301) (pin 56)))
- (net (code 363) (name "Net-(J301-Pad54)")
+ (net (code 384) (name "Net-(J301-Pad54)")
(node (ref J301) (pin 54)))
- (net (code 364) (name "Net-(J301-Pad52)")
+ (net (code 385) (name "Net-(J301-Pad52)")
(node (ref J301) (pin 52)))
- (net (code 365) (name "Net-(J301-Pad50)")
+ (net (code 386) (name "Net-(J301-Pad50)")
(node (ref J301) (pin 50)))
- (net (code 366) (name "Net-(J301-Pad48)")
+ (net (code 387) (name "Net-(J301-Pad48)")
(node (ref J301) (pin 48)))
- (net (code 367) (name "Net-(J301-Pad44)")
+ (net (code 388) (name "Net-(J301-Pad44)")
(node (ref J301) (pin 44)))
- (net (code 368) (name "Net-(J301-Pad42)")
+ (net (code 389) (name "Net-(J301-Pad42)")
(node (ref J301) (pin 42)))
- (net (code 369) (name "Net-(J301-Pad40)")
+ (net (code 390) (name "Net-(J301-Pad40)")
(node (ref J301) (pin 40)))
- (net (code 370) (name "Net-(J301-Pad4)")
+ (net (code 391) (name "Net-(J301-Pad4)")
(node (ref J301) (pin 4)))
- (net (code 371) (name "Net-(J301-Pad38)")
+ (net (code 392) (name "Net-(J301-Pad38)")
(node (ref J301) (pin 38)))
- (net (code 372) (name "Net-(J301-Pad36)")
+ (net (code 393) (name "Net-(J301-Pad36)")
(node (ref J301) (pin 36)))
- (net (code 373) (name "Net-(J301-Pad34)")
+ (net (code 394) (name "Net-(J301-Pad34)")
(node (ref J301) (pin 34)))
- (net (code 374) (name "Net-(J301-Pad32)")
+ (net (code 395) (name "Net-(J301-Pad32)")
(node (ref J301) (pin 32)))
- (net (code 375) (name "Net-(J301-Pad31)")
+ (net (code 396) (name "Net-(J301-Pad31)")
(node (ref J301) (pin 31)))
- (net (code 376) (name "Net-(J301-Pad30)")
+ (net (code 397) (name "Net-(J301-Pad30)")
(node (ref J301) (pin 30)))
- (net (code 377) (name "Net-(J301-Pad29)")
+ (net (code 398) (name "Net-(J301-Pad29)")
(node (ref J301) (pin 29)))
- (net (code 378) (name "Net-(J301-Pad27)")
+ (net (code 399) (name "Net-(J301-Pad27)")
(node (ref J301) (pin 27)))
- (net (code 379) (name "Net-(J301-Pad26)")
+ (net (code 400) (name "Net-(J301-Pad26)")
(node (ref J301) (pin 26)))
- (net (code 380) (name "Net-(J301-Pad25)")
+ (net (code 401) (name "Net-(J301-Pad25)")
(node (ref J301) (pin 25)))
- (net (code 381) (name "Net-(J301-Pad24)")
+ (net (code 402) (name "Net-(J301-Pad24)")
(node (ref J301) (pin 24)))
- (net (code 382) (name "Net-(J301-Pad23)")
+ (net (code 403) (name "Net-(J301-Pad23)")
(node (ref J301) (pin 23)))
- (net (code 383) (name "Net-(J301-Pad22)")
+ (net (code 404) (name "Net-(J301-Pad22)")
(node (ref J301) (pin 22)))
- (net (code 384) (name "Net-(J301-Pad21)")
+ (net (code 405) (name "Net-(J301-Pad21)")
(node (ref J301) (pin 21)))
- (net (code 385) (name "Net-(J301-Pad203)")
+ (net (code 406) (name "Net-(J301-Pad203)")
(node (ref J301) (pin 203)))
- (net (code 386) (name "Net-(J301-Pad202)")
+ (net (code 407) (name "Net-(J301-Pad202)")
(node (ref J301) (pin 202)))
- (net (code 387) (name "Net-(J301-Pad201)")
+ (net (code 408) (name "Net-(J301-Pad201)")
(node (ref J301) (pin 201)))
- (net (code 388) (name "Net-(J301-Pad20)")
+ (net (code 409) (name "Net-(J301-Pad20)")
(node (ref J301) (pin 20)))
- (net (code 389) (name "Net-(J301-Pad2)")
+ (net (code 410) (name "Net-(J301-Pad2)")
(node (ref J301) (pin 2)))
- (net (code 390) (name "Net-(J301-Pad199)")
+ (net (code 411) (name "Net-(J301-Pad199)")
(node (ref J301) (pin 199)))
- (net (code 391) (name "Net-(J301-Pad198)")
+ (net (code 412) (name "Net-(J301-Pad198)")
(node (ref J301) (pin 198)))
- (net (code 392) (name "Net-(J301-Pad197)")
+ (net (code 413) (name "Net-(J301-Pad197)")
(node (ref J301) (pin 197)))
- (net (code 393) (name "Net-(J301-Pad196)")
+ (net (code 414) (name "Net-(J301-Pad196)")
(node (ref J301) (pin 196)))
- (net (code 394) (name "Net-(J301-Pad195)")
+ (net (code 415) (name "Net-(J301-Pad195)")
(node (ref J301) (pin 195)))
- (net (code 395) (name "Net-(J301-Pad194)")
+ (net (code 416) (name "Net-(J301-Pad194)")
(node (ref J301) (pin 194)))
- (net (code 396) (name "Net-(J301-Pad193)")
+ (net (code 417) (name "Net-(J301-Pad193)")
(node (ref J301) (pin 193)))
- (net (code 397) (name "Net-(J301-Pad192)")
+ (net (code 418) (name "Net-(J301-Pad192)")
(node (ref J301) (pin 192)))
- (net (code 398) (name "Net-(J301-Pad191)")
+ (net (code 419) (name "Net-(J301-Pad191)")
(node (ref J301) (pin 191)))
- (net (code 399) (name "Net-(J301-Pad190)")
+ (net (code 420) (name "Net-(J301-Pad190)")
(node (ref J301) (pin 190)))
- (net (code 400) (name "Net-(J301-Pad189)")
+ (net (code 421) (name "Net-(J301-Pad189)")
(node (ref J301) (pin 189)))
- (net (code 401) (name "Net-(J301-Pad188)")
+ (net (code 422) (name "Net-(J301-Pad188)")
(node (ref J301) (pin 188)))
- (net (code 402) (name "Net-(J301-Pad187)")
+ (net (code 423) (name "Net-(J301-Pad187)")
(node (ref J301) (pin 187)))
- (net (code 403) (name "Net-(J301-Pad184)")
+ (net (code 424) (name "Net-(J301-Pad184)")
(node (ref J301) (pin 184)))
- (net (code 404) (name "Net-(J301-Pad182)")
+ (net (code 425) (name "Net-(J301-Pad182)")
(node (ref J301) (pin 182)))
- (net (code 405) (name "Net-(J301-Pad181)")
+ (net (code 426) (name "Net-(J301-Pad181)")
(node (ref J301) (pin 181)))
- (net (code 406) (name "Net-(J301-Pad18)")
+ (net (code 427) (name "Net-(J301-Pad18)")
(node (ref J301) (pin 18)))
- (net (code 407) (name "Net-(J301-Pad179)")
+ (net (code 428) (name "Net-(J301-Pad179)")
(node (ref J301) (pin 179)))
- (net (code 408) (name "Net-(J301-Pad175)")
+ (net (code 429) (name "Net-(J301-Pad175)")
(node (ref J301) (pin 175)))
- (net (code 409) (name "Net-(J301-Pad173)")
+ (net (code 430) (name "Net-(J301-Pad173)")
(node (ref J301) (pin 173)))
- (net (code 410) (name "Net-(J301-Pad172)")
+ (net (code 431) (name "Net-(J301-Pad172)")
(node (ref J301) (pin 172)))
- (net (code 411) (name "Net-(J301-Pad170)")
+ (net (code 432) (name "Net-(J301-Pad170)")
(node (ref J301) (pin 170)))
- (net (code 412) (name "Net-(J301-Pad169)")
+ (net (code 433) (name "Net-(J301-Pad169)")
(node (ref J301) (pin 169)))
- (net (code 413) (name "Net-(J301-Pad167)")
+ (net (code 434) (name "Net-(J301-Pad167)")
(node (ref J301) (pin 167)))
- (net (code 414) (name "Net-(J301-Pad166)")
+ (net (code 435) (name "Net-(J301-Pad166)")
(node (ref J301) (pin 166)))
- (net (code 415) (name "Net-(J301-Pad164)")
+ (net (code 436) (name "Net-(J301-Pad164)")
(node (ref J301) (pin 164)))
- (net (code 416) (name "Net-(J301-Pad163)")
+ (net (code 437) (name "Net-(J301-Pad163)")
(node (ref J301) (pin 163)))
- (net (code 417) (name "Net-(J301-Pad162)")
+ (net (code 438) (name "Net-(J301-Pad162)")
(node (ref J301) (pin 162)))
- (net (code 418) (name "Net-(J301-Pad161)")
+ (net (code 439) (name "Net-(J301-Pad161)")
(node (ref J301) (pin 161)))
- (net (code 419) (name "Net-(J301-Pad160)")
+ (net (code 440) (name "Net-(J301-Pad160)")
(node (ref J301) (pin 160)))
- (net (code 420) (name "Net-(J301-Pad16)")
+ (net (code 441) (name "Net-(J301-Pad16)")
(node (ref J301) (pin 16)))
- (net (code 421) (name "Net-(J301-Pad158)")
+ (net (code 442) (name "Net-(J301-Pad158)")
(node (ref J301) (pin 158)))
- (net (code 422) (name "Net-(J301-Pad157)")
+ (net (code 443) (name "Net-(J301-Pad157)")
(node (ref J301) (pin 157)))
- (net (code 423) (name "Net-(J301-Pad156)")
+ (net (code 444) (name "Net-(J301-Pad156)")
(node (ref J301) (pin 156)))
- (net (code 424) (name "Net-(J301-Pad155)")
+ (net (code 445) (name "Net-(J301-Pad155)")
(node (ref J301) (pin 155)))
- (net (code 425) (name "Net-(J301-Pad154)")
+ (net (code 446) (name "Net-(J301-Pad154)")
(node (ref J301) (pin 154)))
- (net (code 426) (name "Net-(J301-Pad152)")
+ (net (code 447) (name "Net-(J301-Pad152)")
(node (ref J301) (pin 152)))
- (net (code 427) (name "Net-(J301-Pad148)")
+ (net (code 448) (name "Net-(J301-Pad148)")
(node (ref J301) (pin 148)))
- (net (code 428) (name "Net-(J301-Pad147)")
+ (net (code 449) (name "Net-(J301-Pad147)")
(node (ref J301) (pin 147)))
- (net (code 429) (name "Net-(J301-Pad146)")
+ (net (code 450) (name "Net-(J301-Pad146)")
(node (ref J301) (pin 146)))
- (net (code 430) (name "Net-(J301-Pad145)")
+ (net (code 451) (name "Net-(J301-Pad145)")
(node (ref J301) (pin 145)))
- (net (code 431) (name "Net-(J301-Pad144)")
+ (net (code 452) (name "Net-(J301-Pad144)")
(node (ref J301) (pin 144)))
- (net (code 432) (name "Net-(J301-Pad143)")
+ (net (code 453) (name "Net-(J301-Pad143)")
(node (ref J301) (pin 143)))
- (net (code 433) (name "Net-(J301-Pad142)")
+ (net (code 454) (name "Net-(J301-Pad142)")
(node (ref J301) (pin 142)))
- (net (code 434) (name "Net-(J301-Pad140)")
+ (net (code 455) (name "Net-(J301-Pad140)")
(node (ref J301) (pin 140)))
- (net (code 435) (name "Net-(J301-Pad14)")
+ (net (code 456) (name "Net-(J301-Pad14)")
(node (ref J301) (pin 14)))
- (net (code 436) (name "Net-(J301-Pad139)")
+ (net (code 457) (name "Net-(J301-Pad139)")
(node (ref J301) (pin 139)))
- (net (code 437) (name "Net-(J301-Pad138)")
+ (net (code 458) (name "Net-(J301-Pad138)")
(node (ref J301) (pin 138)))
- (net (code 438) (name "Net-(J301-Pad137)")
+ (net (code 459) (name "Net-(J301-Pad137)")
(node (ref J301) (pin 137)))
- (net (code 439) (name "Net-(J301-Pad136)")
+ (net (code 460) (name "Net-(J301-Pad136)")
(node (ref J301) (pin 136)))
- (net (code 440) (name "Net-(J301-Pad134)")
+ (net (code 461) (name "Net-(J301-Pad134)")
(node (ref J301) (pin 134)))
- (net (code 441) (name "Net-(J301-Pad133)")
+ (net (code 462) (name "Net-(J301-Pad133)")
(node (ref J301) (pin 133)))
- (net (code 442) (name "Net-(J301-Pad131)")
+ (net (code 463) (name "Net-(J301-Pad131)")
(node (ref J301) (pin 131)))
- (net (code 443) (name "Net-(J301-Pad130)")
+ (net (code 464) (name "Net-(J301-Pad130)")
(node (ref J301) (pin 130)))
- (net (code 444) (name "Net-(J301-Pad128)")
+ (net (code 465) (name "Net-(J301-Pad128)")
(node (ref J301) (pin 128)))
- (net (code 445) (name "Net-(J301-Pad127)")
+ (net (code 466) (name "Net-(J301-Pad127)")
(node (ref J301) (pin 127)))
- (net (code 446) (name "Net-(J301-Pad126)")
+ (net (code 467) (name "Net-(J301-Pad126)")
(node (ref J301) (pin 126)))
- (net (code 447) (name "Net-(J301-Pad125)")
+ (net (code 468) (name "Net-(J301-Pad125)")
(node (ref J301) (pin 125)))
- (net (code 448) (name "Net-(J301-Pad124)")
+ (net (code 469) (name "Net-(J301-Pad124)")
(node (ref J301) (pin 124)))
- (net (code 449) (name "Net-(J301-Pad122)")
+ (net (code 470) (name "Net-(J301-Pad122)")
(node (ref J301) (pin 122)))
- (net (code 450) (name "Net-(J301-Pad121)")
+ (net (code 471) (name "Net-(J301-Pad121)")
(node (ref J301) (pin 121)))
- (net (code 451) (name "Net-(J301-Pad120)")
+ (net (code 472) (name "Net-(J301-Pad120)")
(node (ref J301) (pin 120)))
- (net (code 452) (name "Net-(J301-Pad12)")
+ (net (code 473) (name "Net-(J301-Pad12)")
(node (ref J301) (pin 12)))
- (net (code 453) (name "Net-(J301-Pad119)")
+ (net (code 474) (name "Net-(J301-Pad119)")
(node (ref J301) (pin 119)))
- (net (code 454) (name "Net-(J301-Pad118)")
+ (net (code 475) (name "Net-(J301-Pad118)")
(node (ref J301) (pin 118)))
- (net (code 455) (name "Net-(J301-Pad117)")
- (node (ref J301) (pin 117)))
- (net (code 456) (name "Net-(J301-Pad116)")
+ (net (code 476) (name "Net-(J301-Pad116)")
(node (ref J301) (pin 116)))
- (net (code 457) (name "Net-(J301-Pad115)")
+ (net (code 477) (name "Net-(J301-Pad115)")
(node (ref J301) (pin 115)))
- (net (code 458) (name "Net-(J301-Pad113)")
+ (net (code 478) (name "Net-(J301-Pad113)")
(node (ref J301) (pin 113)))
- (net (code 459) (name "Net-(J301-Pad112)")
+ (net (code 479) (name "Net-(J301-Pad112)")
(node (ref J301) (pin 112)))
- (net (code 460) (name "Net-(J301-Pad111)")
- (node (ref J301) (pin 111)))
- (net (code 461) (name "Net-(J301-Pad110)")
+ (net (code 480) (name "Net-(J301-Pad110)")
(node (ref J301) (pin 110)))
- (net (code 462) (name "Net-(J301-Pad109)")
+ (net (code 481) (name "Net-(J301-Pad109)")
(node (ref J301) (pin 109)))
- (net (code 463) (name "Net-(J301-Pad108)")
+ (net (code 482) (name "Net-(J301-Pad108)")
(node (ref J301) (pin 108)))
- (net (code 464) (name "Net-(J301-Pad107)")
+ (net (code 483) (name "Net-(J301-Pad107)")
(node (ref J301) (pin 107)))
- (net (code 465) (name "Net-(J301-Pad106)")
+ (net (code 484) (name "Net-(J301-Pad106)")
(node (ref J301) (pin 106)))
- (net (code 466) (name "Net-(J301-Pad104)")
+ (net (code 485) (name "Net-(J301-Pad104)")
(node (ref J301) (pin 104)))
- (net (code 467) (name "Net-(J301-Pad103)")
+ (net (code 486) (name "Net-(J301-Pad103)")
(node (ref J301) (pin 103)))
- (net (code 468) (name "Net-(J301-Pad102)")
+ (net (code 487) (name "Net-(J301-Pad102)")
(node (ref J301) (pin 102)))
- (net (code 469) (name "Net-(J301-Pad101)")
+ (net (code 488) (name "Net-(J301-Pad101)")
(node (ref J301) (pin 101)))
- (net (code 470) (name "Net-(J301-Pad100)")
+ (net (code 489) (name "Net-(J301-Pad100)")
(node (ref J301) (pin 100)))
- (net (code 472) (name +VSYS)
- (node (ref C36) (pin 1))
- (node (ref C37) (pin 1))
- (node (ref C34) (pin 1))
- (node (ref C35) (pin 1))
- (node (ref U12) (pin 7))
- (node (ref U12) (pin 8))
- (node (ref U401) (pin 5))
- (node (ref C404) (pin 1))
- (node (ref R402) (pin 1))
- (node (ref L401) (pin 1))
+ (net (code 491) (name +VSYS)
+ (node (ref J301) (pin 28))
+ (node (ref U2) (pin 4))
+ (node (ref U2) (pin 5))
+ (node (ref U1) (pin 15))
+ (node (ref U3) (pin 4))
+ (node (ref U3) (pin 5))
+ (node (ref J301) (pin 186))
+ (node (ref J207) (pin 2))
+ (node (ref J207) (pin 4))
+ (node (ref C8) (pin 1))
+ (node (ref J301) (pin 96))
+ (node (ref J301) (pin 168))
+ (node (ref U3) (pin 6))
(node (ref J301) (pin 10))
+ (node (ref U3) (pin 7))
(node (ref J301) (pin 114))
- (node (ref J301) (pin 132))
- (node (ref J301) (pin 150))
- (node (ref J301) (pin 168))
- (node (ref C7) (pin 1))
- (node (ref J301) (pin 186))
- (node (ref J301) (pin 204))
- (node (ref J301) (pin 28))
(node (ref J301) (pin 46))
- (node (ref J207) (pin 13))
- (node (ref J207) (pin 11))
- (node (ref J301) (pin 64))
(node (ref J301) (pin 78))
- (node (ref J206) (pin 4))
- (node (ref J301) (pin 96))
- (node (ref J206) (pin 2))
- (node (ref U13) (pin 8))
- (node (ref U13) (pin 7))
- (node (ref U1) (pin 8))
(node (ref U1) (pin 16))
- (node (ref U1) (pin 15))
- (node (ref U15) (pin 5))
(node (ref U3) (pin 8))
- (node (ref U3) (pin 7))
- (node (ref U3) (pin 6))
- (node (ref U3) (pin 5))
- (node (ref U3) (pin 4))
- (node (ref U2) (pin 5))
- (node (ref U2) (pin 4))
- (node (ref C41) (pin 1))
+ (node (ref J301) (pin 64))
+ (node (ref J301) (pin 204))
+ (node (ref J301) (pin 132))
+ (node (ref J301) (pin 150))
+ (node (ref U1) (pin 8))
(node (ref C318) (pin 1))
(node (ref C317) (pin 1))
(node (ref C316) (pin 1))
@@ -4917,12 +5121,15 @@
(node (ref C304) (pin 1))
(node (ref C303) (pin 1))
(node (ref C302) (pin 1))
- (node (ref R32) (pin 1))
- (node (ref C9) (pin 1))
- (node (ref C3) (pin 1))
- (node (ref C2) (pin 1))
+ (node (ref U401) (pin 5))
+ (node (ref L401) (pin 1))
+ (node (ref R402) (pin 1))
+ (node (ref C10) (pin 1))
+ (node (ref C404) (pin 1))
+ (node (ref C5) (pin 1))
+ (node (ref C4) (pin 1))
(node (ref L1) (pin 2))
- (node (ref D1) (pin 2)))
- (net (code 473) (name "Net-(C301-Pad1)")
+ (node (ref D2) (pin 2)))
+ (net (code 492) (name "Net-(C301-Pad1)")
(node (ref C301) (pin 1))
(node (ref J301) (pin 183))))) \ No newline at end of file