summaryrefslogtreecommitdiff
path: root/hw/rvPhone/rvPhone.net
diff options
context:
space:
mode:
authorUros Majstorovic <majstor@majstor.org>2021-03-29 12:46:43 +0200
committerUros Majstorovic <majstor@majstor.org>2021-03-29 12:46:43 +0200
commit9d325e2ea3a9b79299137866620f0f64e10f81d6 (patch)
tree336813421dd444dc0f6191856a13def47e71eed8 /hw/rvPhone/rvPhone.net
parentf91e9e25cb0f0da9847de390b03683a4f35b468f (diff)
rev2 board
Diffstat (limited to 'hw/rvPhone/rvPhone.net')
-rw-r--r--hw/rvPhone/rvPhone.net4237
1 files changed, 2217 insertions, 2020 deletions
diff --git a/hw/rvPhone/rvPhone.net b/hw/rvPhone/rvPhone.net
index 7c67c9f..9c91eac 100644
--- a/hw/rvPhone/rvPhone.net
+++ b/hw/rvPhone/rvPhone.net
@@ -1,7 +1,7 @@
(export (version D)
(design
(source /Volumes/rvPhone/repo/hw/rvPhone/rvPhone.sch)
- (date "Friday, December 04, 2020 at 06:48:53 AM")
+ (date "Tuesday, January 26, 2021 at 09:36:15 PM")
(tool "Eeschema (5.1.6-0-10_14)")
(sheet (number 1) (name /) (tstamps /)
(title_block
@@ -48,24 +48,6 @@
(comment (number 3) (value ""))
(comment (number 4) (value "")))))
(components
- (comp (ref C32)
- (value 0.1uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5AEB0E0E))
- (comp (ref C31)
- (value 0.1uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5AEB119C))
- (comp (ref C29)
- (value 0.1uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5AEE45AF))
(comp (ref C7)
(value 10uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
@@ -84,12 +66,6 @@
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5B734CBF))
- (comp (ref C30)
- (value 0.1uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5B880184))
(comp (ref R18)
(value 100K)
(footprint Resistor_SMD:R_0603_1608Metric)
@@ -114,120 +90,6 @@
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5B8B6288))
- (comp (ref C14)
- (value 10nF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5B8B4F0E))
- (comp (ref C26)
- (value 12pF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5BE3076D))
- (comp (ref C25)
- (value 12pF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5BE3076E))
- (comp (ref Y1)
- (value 16MHz)
- (footprint footprints:TXC_7M)
- (libsource (lib Device) (part Crystal_GND3_Small) (description "Three pin crystal, GND on pin 3, small symbol"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5BE30773))
- (comp (ref C16)
- (value 0.1uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5BE30776))
- (comp (ref C17)
- (value 0.1uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5BE30777))
- (comp (ref C24)
- (value 0.1uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5BE30778))
- (comp (ref C23)
- (value 0.1uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5BE30779))
- (comp (ref C15)
- (value 0.1uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5BE3077B))
- (comp (ref R12)
- (value 100R)
- (footprint Resistor_SMD:R_0603_1608Metric)
- (libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /) (tstamps /))
- (tstamp 5BE3077F))
- (comp (ref C20)
- (value 0.1uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5BE30781))
- (comp (ref C18)
- (value 0.1uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5BE30782))
- (comp (ref C22)
- (value 10uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5BE30786))
- (comp (ref C27)
- (value 0.1uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5BE3078A))
- (comp (ref R10)
- (value 100K)
- (footprint Resistor_SMD:R_0603_1608Metric)
- (libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /) (tstamps /))
- (tstamp 5BE30792))
- (comp (ref R11)
- (value 100K)
- (footprint Resistor_SMD:R_0603_1608Metric)
- (libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /) (tstamps /))
- (tstamp 5BE30798))
- (comp (ref C21)
- (value 10uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5BE3079A))
- (comp (ref C12)
- (value 0.1uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5BE3079E))
- (comp (ref C13)
- (value 10nF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5BE30796))
(comp (ref R1)
(value 260R)
(footprint Resistor_SMD:R_0603_1608Metric)
@@ -254,7 +116,7 @@
(tstamp 5BF84646))
(comp (ref L1)
(value 2.2uH)
- (footprint footprints:L_Coilcraft_LPS3015)
+ (footprint Inductor_SMD:L_Vishay_IHLP-1616)
(libsource (lib Device) (part L) (description Inductor))
(sheetpath (names /) (tstamps /))
(tstamp 5BF8F569))
@@ -307,14 +169,14 @@
(sheetpath (names /) (tstamps /))
(tstamp 5BF9199B))
(comp (ref C10)
- (value 22uF)
+ (value 10uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5BF929B4))
(comp (ref C11)
- (value 22uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
+ (value 47uF)
+ (footprint Capacitor_SMD:C_0805_2012Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5BF92B7C))
@@ -330,36 +192,12 @@
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5C1099A1))
- (comp (ref C33)
- (value 0.1uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C10F095))
- (comp (ref C35)
- (value 10uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C12EC7F))
- (comp (ref C34)
- (value 0.1uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C12F0FD))
(comp (ref R28)
- (value 100K)
+ (value 50K)
(footprint Resistor_SMD:R_0603_1608Metric)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5C131584))
- (comp (ref LS1)
- (value Speaker)
- (footprint Connector_PinHeader_1.27mm:PinHeader_1x02_P1.27mm_Vertical)
- (libsource (lib Device) (part Speaker) (description Speaker))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C13DFDB))
(comp (ref R22)
(value 100K)
(footprint Resistor_SMD:R_0603_1608Metric)
@@ -367,7 +205,7 @@
(sheetpath (names /) (tstamps /))
(tstamp 5C36A8BA))
(comp (ref R29)
- (value 100K)
+ (value 50K)
(footprint Resistor_SMD:R_0603_1608Metric)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
@@ -396,18 +234,6 @@
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 5C4CB743))
- (comp (ref R7)
- (value 10K)
- (footprint Resistor_SMD:R_0603_1608Metric)
- (libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C4CB990))
- (comp (ref R8)
- (value 100K)
- (footprint Resistor_SMD:R_0603_1608Metric)
- (libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /) (tstamps /))
- (tstamp 5C98E0E3))
(comp (ref J1)
(value USB_OTG)
(footprint footprints:USB_Micro-B)
@@ -415,78 +241,23 @@
(libsource (lib Connector) (part USB_B_Micro) (description "USB Micro Type B connector"))
(sheetpath (names /) (tstamps /))
(tstamp 5CB8DC66))
- (comp (ref SW1)
- (value RESET)
- (footprint footprints:Panasonic_EVPBB)
- (datasheet ~)
- (libsource (lib Switch) (part SW_Push) (description "Push button switch, generic, two pins"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5CC47B7B))
- (comp (ref SW2)
- (value WAKE)
- (footprint footprints:Panasonic_EVPAK)
- (datasheet ~)
- (libsource (lib Switch) (part SW_Push) (description "Push button switch, generic, two pins"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5CC4AF43))
(comp (ref J2)
(value BATT)
- (footprint Connector_PinHeader_2.00mm:PinHeader_1x02_P2.00mm_Vertical)
+ (footprint Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical)
(datasheet ~)
(libsource (lib Connector_Generic) (part Conn_01x02) (description "Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)"))
(sheetpath (names /) (tstamps /))
(tstamp 5CE1BD9A))
- (comp (ref U10)
- (value 74HC595)
- (footprint footprints:74HC595BQ115)
- (datasheet http://www.ti.com/lit/ds/symlink/sn74hc595.pdf)
- (libsource (lib 74xx) (part 74HC595) (description "8-bit serial in/out Shift Register 3-State Outputs"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5CCEC0AE))
- (comp (ref U9)
- (value 74HC595)
- (footprint footprints:74HC595BQ115)
- (datasheet http://www.ti.com/lit/ds/symlink/sn74hc595.pdf)
- (libsource (lib 74xx) (part 74HC595) (description "8-bit serial in/out Shift Register 3-State Outputs"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5D06E6A9))
- (comp (ref U7)
- (value 74HC00)
- (footprint footprints:74HC00BQ115)
- (datasheet http://www.ti.com/lit/gpn/sn74hc00)
- (libsource (lib 74xx) (part 74HC00) (description "quad 2-input NAND gate"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5D3EB30A))
- (comp (ref U8)
- (value 74HC00)
- (footprint footprints:74HC00BQ115)
- (datasheet http://www.ti.com/lit/gpn/sn74hc00)
- (libsource (lib 74xx) (part 74HC00) (description "quad 2-input NAND gate"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5DBCC5CF))
- (comp (ref C19)
- (value 0.1uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
- (sheetpath (names /) (tstamps /))
- (tstamp 5BE30780))
- (comp (ref R9)
- (value 100K)
- (footprint Resistor_SMD:R_0603_1608Metric)
- (datasheet ~)
- (libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /) (tstamps /))
- (tstamp 5D8879B2))
- (comp (ref C55)
+ (comp (ref C43)
(value 22uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
+ (footprint Capacitor_SMD:C_0805_2012Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 5DD32F8E))
- (comp (ref C56)
+ (comp (ref C44)
(value 22uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
+ (footprint Capacitor_SMD:C_0805_2012Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
@@ -517,59 +288,275 @@
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 60140109))
- (comp (ref C37)
- (value 10uF)
+ (comp (ref C40)
+ (value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
+ (datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
- (tstamp 604CD9E4))
- (comp (ref C36)
- (value 0.1uF)
+ (tstamp 634A5955))
+ (comp (ref R27)
+ (value 100K)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 61D66A90))
+ (comp (ref U8)
+ (value 74HC00)
+ (footprint footprints:74HC00BQ115)
+ (datasheet http://www.ti.com/lit/gpn/sn74hc00)
+ (libsource (lib 74xx) (part 74HC00) (description "quad 2-input NAND gate"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 5DD40F28))
+ (comp (ref U2)
+ (value TPS62293)
+ (footprint footprints:TPS62290)
+ (libsource (lib tps) (part TPS62293) (description ""))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 6199CF89))
+ (comp (ref U3)
+ (value TPS630250)
+ (footprint footprints:TPS630250)
+ (libsource (lib tps) (part TPS630250) (description ""))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 619F3399))
+ (comp (ref U6)
+ (value TMUX1574RSV)
+ (footprint footprints:UQFN-16_1.8x2.6mm_P0.4mm)
+ (libsource (lib tmux) (part TMUX1574RSV) (description ""))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 62085FE5))
+ (comp (ref U15)
+ (value SN74LVC1G3157DCK)
+ (footprint Package_TO_SOT_SMD:Texas_R-PDSO-G6)
+ (libsource (lib sn74) (part SN74LVC1G3157DCK) (description ""))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 5FE56889))
+ (comp (ref U14)
+ (value SN74LVC1G04DCK)
+ (footprint footprints:Texas_R-PDSO-G5)
+ (libsource (lib sn74) (part SN74LVC1G04DCK) (description ""))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 5FEACE73))
+ (comp (ref C42)
+ (value 10uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
+ (datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
- (tstamp 604CD9EE))
- (comp (ref R24)
- (value 210K)
+ (tstamp 60962DF4))
+ (comp (ref U1)
+ (value BQ25895)
+ (footprint Package_DFN_QFN:Texas_S-PWQFN-N24_EP2.7x2.7mm_ThermalVias)
+ (libsource (lib bq) (part BQ25895) (description ""))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 617FF244))
+ (comp (ref J5)
+ (value SPEAKER)
+ (footprint Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Vertical)
+ (datasheet ~)
+ (libsource (lib Connector_Generic) (part Conn_01x04) (description "Generic connector, single row, 01x04, script generated (kicad-library-utils/schlib/autogen/connector/)"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 603C4198))
+ (comp (ref J3)
+ (value +5V)
+ (footprint Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical)
+ (datasheet ~)
+ (libsource (lib Connector_Generic) (part Conn_01x02) (description "Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 5FFEAFB1))
+ (comp (ref R7)
+ (value 100K)
(footprint Resistor_SMD:R_0603_1608Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
- (tstamp 607FF04C))
- (comp (ref R23)
- (value 2K)
+ (tstamp 6033C803))
+ (comp (ref C45)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 60336FA3))
+ (comp (ref U16)
+ (value SN74LVC2G07DCK)
+ (footprint Package_TO_SOT_SMD:Texas_R-PDSO-G6)
+ (libsource (lib sn74) (part SN74LVC2G07DCK) (description ""))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 601DCF05))
+ (comp (ref X1)
+ (value SiT1533)
+ (footprint footprints:SiT1533)
+ (libsource (lib sit) (part SiT1533) (description ""))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 616DC275))
+ (comp (ref U5)
+ (value IS25LP128-JKLE)
+ (footprint Package_SON:WSON-8-1EP_6x5mm_P1.27mm_EP3.4x4mm)
+ (libsource (lib issi) (part IS25LP128-JKLE) (description ""))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 615D9E36))
+ (comp (ref R9)
+ (value 100K)
(footprint Resistor_SMD:R_0603_1608Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
- (tstamp 60534525))
- (comp (ref LS2)
- (value Speaker)
- (footprint Connector_PinHeader_1.27mm:PinHeader_1x02_P1.27mm_Vertical)
- (libsource (lib Device) (part Speaker) (description Speaker))
+ (tstamp 5D8879B2))
+ (comp (ref C19)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
(sheetpath (names /) (tstamps /))
- (tstamp 607BC16D))
- (comp (ref C39)
+ (tstamp 5BE30780))
+ (comp (ref SW2)
+ (value WAKE)
+ (footprint footprints:Panasonic_EVPAE)
+ (datasheet ~)
+ (libsource (lib Switch) (part SW_Push) (description "Push button switch, generic, two pins"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 5CC4AF43))
+ (comp (ref SW1)
+ (value RESET)
+ (footprint Button_Switch_SMD:SW_SPST_PTS810)
+ (datasheet ~)
+ (libsource (lib Switch) (part SW_Push) (description "Push button switch, generic, two pins"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 5CC47B7B))
+ (comp (ref R8)
+ (value 100K)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 5C98E0E3))
+ (comp (ref C13)
+ (value 10nF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 5BE30796))
+ (comp (ref C12)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 5BE3079E))
+ (comp (ref C21)
(value 10uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
- (datasheet ~)
- (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
(sheetpath (names /) (tstamps /))
- (tstamp 6108BD1C))
- (comp (ref C38)
+ (tstamp 5BE3079A))
+ (comp (ref R11)
+ (value 100K)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 5BE30798))
+ (comp (ref R10)
+ (value 100K)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 5BE30792))
+ (comp (ref C27)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 5BE3078A))
+ (comp (ref C22)
(value 10uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
- (datasheet ~)
- (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
(sheetpath (names /) (tstamps /))
- (tstamp 6108DADE))
- (comp (ref R25)
- (value 47K)
+ (tstamp 5BE30786))
+ (comp (ref C18)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 5BE30782))
+ (comp (ref C20)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 5BE30781))
+ (comp (ref R12)
+ (value 100R)
(footprint Resistor_SMD:R_0603_1608Metric)
- (datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
- (tstamp 6127237F))
+ (tstamp 5BE3077F))
+ (comp (ref C15)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 5BE3077B))
+ (comp (ref C23)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 5BE30779))
+ (comp (ref C24)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 5BE30778))
+ (comp (ref C17)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 5BE30777))
+ (comp (ref C16)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 5BE30776))
+ (comp (ref Y1)
+ (value 16MHz)
+ (footprint footprints:TXC_7M)
+ (libsource (lib Device) (part Crystal_GND3_Small) (description "Three pin crystal, GND on pin 3, small symbol"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 5BE30773))
+ (comp (ref C25)
+ (value 10pF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 5BE3076E))
+ (comp (ref C26)
+ (value 10pF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 5BE3076D))
+ (comp (ref C14)
+ (value 10nF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 5B8B4F0E))
+ (comp (ref U13)
+ (value MAX98357A)
+ (footprint footprints:TQFN-16-1EP_3x3mm_P0.5mm_EP1.23x1.23mm_ThermalVias)
+ (libsource (lib max) (part MAX98357) (description ""))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 615816F9))
+ (comp (ref J4)
+ (value SJ-3571N)
+ (footprint footprints:SJ-3571N)
+ (libsource (lib cui) (part SJ-3571N) (description ""))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 6147BD8C))
(comp (ref R26)
(value 47K)
(footprint Resistor_SMD:R_0603_1608Metric)
@@ -577,98 +564,141 @@
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
(tstamp 6127308F))
- (comp (ref C40)
- (value 0.1uF)
+ (comp (ref R25)
+ (value 47K)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 6127237F))
+ (comp (ref C38)
+ (value 10uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
- (tstamp 634A5955))
- (comp (ref R27)
- (value 100K)
+ (tstamp 6108DADE))
+ (comp (ref C39)
+ (value 10uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 6108BD1C))
+ (comp (ref R24)
+ (value 255K)
(footprint Resistor_SMD:R_0603_1608Metric)
(datasheet ~)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
- (tstamp 61D66A90))
- (comp (ref U4)
- (value E310-G002)
- (footprint Package_DFN_QFN:QFN-48-1EP_6x6mm_P0.4mm_EP4.2x4.2mm_ThermalVias)
- (libsource (lib sifive) (part E310-G002) (description ""))
+ (tstamp 607FF04C))
+ (comp (ref C36)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
- (tstamp 613C7F10))
- (comp (ref U11)
- (value ICS-43434)
- (footprint footprints:ICS-43434)
- (libsource (lib ics) (part ICS-43434) (description ""))
+ (tstamp 604CD9EE))
+ (comp (ref C37)
+ (value 10uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
- (tstamp 6142487D))
- (comp (ref J3)
- (value SJ-3571N)
- (footprint footprints:SJ-3571N)
- (libsource (lib cui) (part SJ-3571N) (description ""))
+ (tstamp 604CD9E4))
+ (comp (ref U7)
+ (value 74HC00)
+ (footprint footprints:74HC00BQ115)
+ (datasheet http://www.ti.com/lit/gpn/sn74hc00)
+ (libsource (lib 74xx) (part 74HC00) (description "quad 2-input NAND gate"))
(sheetpath (names /) (tstamps /))
- (tstamp 6147BD8C))
+ (tstamp 5DF6F6B5))
+ (comp (ref U10)
+ (value 74HC595)
+ (footprint footprints:74HC595BQ115)
+ (datasheet http://www.ti.com/lit/ds/symlink/sn74hc595.pdf)
+ (libsource (lib 74xx) (part 74HC595) (description "8-bit serial in/out Shift Register 3-State Outputs"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 5CCEC0AE))
+ (comp (ref C34)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 5C12F0FD))
+ (comp (ref C35)
+ (value 10uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 5C12EC7F))
+ (comp (ref C30)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 5B880184))
+ (comp (ref C29)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 5AEE45AF))
+ (comp (ref C32)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /) (tstamps /))
+ (tstamp 5AEB0E0E))
(comp (ref U12)
(value MAX98357A)
(footprint footprints:TQFN-16-1EP_3x3mm_P0.5mm_EP1.23x1.23mm_ThermalVias)
(libsource (lib max) (part MAX98357) (description ""))
(sheetpath (names /) (tstamps /))
(tstamp 6157FD5C))
- (comp (ref U13)
- (value MAX98357A)
- (footprint footprints:TQFN-16-1EP_3x3mm_P0.5mm_EP1.23x1.23mm_ThermalVias)
- (libsource (lib max) (part MAX98357) (description ""))
- (sheetpath (names /) (tstamps /))
- (tstamp 615816F9))
- (comp (ref U5)
- (value IS25LP128-JKLE)
- (footprint Package_SON:WSON-8-1EP_6x5mm_P1.27mm_EP3.4x4mm)
- (libsource (lib issi) (part IS25LP128-JKLE) (description ""))
- (sheetpath (names /) (tstamps /))
- (tstamp 615D9E36))
- (comp (ref X1)
- (value SiT1533)
- (footprint footprints:SiT1533)
- (libsource (lib sit) (part SiT1533) (description ""))
+ (comp (ref U11)
+ (value ICS-43434)
+ (footprint footprints:ICS-43434)
+ (libsource (lib ics) (part ICS-43434) (description ""))
(sheetpath (names /) (tstamps /))
- (tstamp 616DC275))
- (comp (ref U1)
- (value BQ25895)
- (footprint Package_DFN_QFN:Texas_S-PWQFN-N24_EP2.7x2.7mm_ThermalVias)
- (libsource (lib bq) (part BQ25895) (description ""))
+ (tstamp 6142487D))
+ (comp (ref R23)
+ (value 2.2K)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /) (tstamps /))
- (tstamp 617FF244))
- (comp (ref U2)
- (value TPS62293)
- (footprint footprints:TPS62290)
- (libsource (lib tps) (part TPS62293) (description ""))
+ (tstamp 60534525))
+ (comp (ref U9)
+ (value 74HC595)
+ (footprint footprints:74HC595BQ115)
+ (datasheet http://www.ti.com/lit/ds/symlink/sn74hc595.pdf)
+ (libsource (lib 74xx) (part 74HC595) (description "8-bit serial in/out Shift Register 3-State Outputs"))
(sheetpath (names /) (tstamps /))
- (tstamp 6199CF89))
- (comp (ref U3)
- (value TPS630250)
- (footprint footprints:TPS630250)
- (libsource (lib tps) (part TPS630250) (description ""))
+ (tstamp 5D06E6A9))
+ (comp (ref C33)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
- (tstamp 619F3399))
- (comp (ref U6)
- (value TMUX1574RSV)
- (footprint footprints:UQFN-16_1.8x2.6mm_P0.4mm)
- (libsource (lib tmux) (part TMUX1574RSV) (description ""))
+ (tstamp 5C10F095))
+ (comp (ref C31)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
- (tstamp 62085FE5))
- (comp (ref U14)
- (value SN74LVC1G04DRY)
- (footprint footprints:USON-6_1.45x1mm_P0.5mm)
- (libsource (lib sn74) (part SN74LVC1G04DRY) (description ""))
+ (tstamp 5AEB119C))
+ (comp (ref TP1)
+ (value BQ_INT)
+ (footprint TestPoint:TestPoint_Pad_D1.5mm)
+ (datasheet ~)
+ (libsource (lib Connector) (part TestPoint) (description "test point"))
(sheetpath (names /) (tstamps /))
- (tstamp 62226BE8))
- (comp (ref U15)
- (value SN74LVC1G3157DRY)
- (footprint footprints:USON-6_1.45x1mm_P0.5mm)
- (libsource (lib sn74) (part SN74LVC1G3157DRY) (description ""))
+ (tstamp 6028E702))
+ (comp (ref U4)
+ (value FE310-G002)
+ (footprint Package_DFN_QFN:QFN-48-1EP_6x6mm_P0.4mm_EP4.2x4.2mm_ThermalVias)
+ (libsource (lib sifive) (part FE310-G002) (description ""))
(sheetpath (names /) (tstamps /))
- (tstamp 6222C871))
+ (tstamp 6073383E))
(comp (ref C201)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
@@ -676,13 +706,13 @@
(sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C506C38))
(comp (ref C202)
- (value 10uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
+ (value 22uF)
+ (footprint Capacitor_SMD:C_0805_2012Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C506C85))
(comp (ref C203)
- (value 0.1uF)
+ (value 1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /periph/) (tstamps /619DBCF0/))
@@ -747,25 +777,13 @@
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C709120))
- (comp (ref C225)
- (value 470uF)
- (footprint Capacitor_Tantalum_SMD:CP_EIA-3528-21_Kemet-B)
- (libsource (lib Device) (part CP) (description "Polarized capacitor"))
- (sheetpath (names /periph/) (tstamps /619DBCF0/))
- (tstamp 5C70B5EF))
- (comp (ref JP201)
- (value "LEVEL EN")
- (footprint Jumper:SolderJumper-3_P1.3mm_Open_RoundedPad1.0x1.5mm)
- (libsource (lib Device) (part Jumper_NC_Dual) (description "Dual jumper, normally closed"))
- (sheetpath (names /periph/) (tstamps /619DBCF0/))
- (tstamp 5C769C25))
- (comp (ref C218)
+ (comp (ref C221)
(value 1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C76F80A))
- (comp (ref C219)
+ (comp (ref C222)
(value 1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
@@ -801,30 +819,6 @@
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5C88B596))
- (comp (ref R208)
- (value 100K)
- (footprint Resistor_SMD:R_0603_1608Metric)
- (libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /periph/) (tstamps /619DBCF0/))
- (tstamp 5C8F05F3))
- (comp (ref C222)
- (value 0.1uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /periph/) (tstamps /619DBCF0/))
- (tstamp 5C8F0620))
- (comp (ref C220)
- (value 1uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /periph/) (tstamps /619DBCF0/))
- (tstamp 5C8F0642))
- (comp (ref C221)
- (value 0.1uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /periph/) (tstamps /619DBCF0/))
- (tstamp 5C8F0676))
(comp (ref C213)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
@@ -857,12 +851,12 @@
(tstamp 5C922451))
(comp (ref SW201)
(value BOOT)
- (footprint footprints:Panasonic_EVPBB)
+ (footprint Button_Switch_SMD:SW_SPST_PTS810)
(datasheet ~)
(libsource (lib Switch) (part SW_Push) (description "Push button switch, generic, two pins"))
(sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5CC8732C))
- (comp (ref J205)
+ (comp (ref J204)
(value Micro_SD_Card)
(footprint footprints:microSD)
(datasheet http://katalog.we-online.de/em/datasheet/693072010801.pdf)
@@ -897,27 +891,13 @@
(libsource (lib Connector_Generic) (part Conn_02x26_Odd_Even) (description "Generic connector, double row, 02x26, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)"))
(sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5CDFDC3F))
- (comp (ref J204)
- (value Camera)
- (footprint Connector_PinHeader_2.54mm:PinHeader_1x08_P2.54mm_Vertical)
- (datasheet ~)
- (libsource (lib Connector_Generic) (part Conn_01x08) (description "Generic connector, single row, 01x08, script generated (kicad-library-utils/schlib/autogen/connector/)"))
- (sheetpath (names /periph/) (tstamps /619DBCF0/))
- (tstamp 5CE10D8E))
(comp (ref J203)
(value Motor)
- (footprint Connector_PinHeader_1.27mm:PinHeader_1x02_P1.27mm_Vertical)
+ (footprint footprints:SolderWirePad_1x02_SMD_1x2mm)
(datasheet ~)
(libsource (lib Connector_Generic) (part Conn_01x02) (description "Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)"))
(sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5CE162E3))
- (comp (ref JP202)
- (value Q.DTR)
- (footprint Jumper:SolderJumper-2_P1.3mm_Open_RoundedPad1.0x1.5mm)
- (datasheet ~)
- (libsource (lib Device) (part Jumper_NC_Small) (description "Jumper, normally closed, small symbol"))
- (sheetpath (names /periph/) (tstamps /619DBCF0/))
- (tstamp 5E090092))
(comp (ref JP203)
(value Q.RI)
(footprint Jumper:SolderJumper-2_P1.3mm_Open_RoundedPad1.0x1.5mm)
@@ -925,33 +905,27 @@
(libsource (lib Device) (part Jumper_NC_Small) (description "Jumper, normally closed, small symbol"))
(sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5E091644))
- (comp (ref JP204)
+ (comp (ref JP205)
(value Q.TXD)
(footprint Jumper:SolderJumper-2_P1.3mm_Open_RoundedPad1.0x1.5mm)
(datasheet ~)
(libsource (lib Device) (part Jumper_NC_Small) (description "Jumper, normally closed, small symbol"))
(sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5E0CADAF))
- (comp (ref JP205)
+ (comp (ref JP204)
(value Q.RXD)
(footprint Jumper:SolderJumper-2_P1.3mm_Open_RoundedPad1.0x1.5mm)
(datasheet ~)
(libsource (lib Device) (part Jumper_NC_Small) (description "Jumper, normally closed, small symbol"))
(sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5E1036AB))
- (comp (ref C224)
+ (comp (ref C218)
(value 470uF)
- (footprint Capacitor_Tantalum_SMD:CP_EIA-3528-21_Kemet-B)
+ (footprint Capacitor_Tantalum_SMD:CP_EIA-7343-31_Kemet-D)
(libsource (lib Device) (part CP) (description "Polarized capacitor"))
(sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5D7BE54F))
- (comp (ref R209)
- (value 47K)
- (footprint Resistor_SMD:R_0603_1608Metric)
- (libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /periph/) (tstamps /619DBCF0/))
- (tstamp 5C6D9747))
- (comp (ref C223)
+ (comp (ref C227)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
@@ -970,70 +944,161 @@
(libsource (lib esp) (part ESP32-WROOM) (description ""))
(sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 6176A093))
- (comp (ref U205)
- (value DRV2605L)
- (footprint Package_SO:VSSOP-10_3x3mm_P0.5mm)
- (libsource (lib drv) (part DRV2605L) (description ""))
- (sheetpath (names /periph/) (tstamps /619DBCF0/))
- (tstamp 6176EDE9))
- (comp (ref U206)
- (value TPS22917)
- (footprint footprints:TPS22917)
- (libsource (lib tps) (part TPS22917) (description ""))
- (sheetpath (names /periph/) (tstamps /619DBCF0/))
- (tstamp 61A2BE36))
(comp (ref U202)
(value SN74CBTLV3125RGY)
(footprint Package_DFN_QFN:Texas_S-PVQFN-N14_ThermalVias)
(libsource (lib sn74) (part SN74CBTLV3125RGY) (description ""))
(sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 621AAAA9))
- (comp (ref U208)
- (value SN74CBTLV3126RGY)
- (footprint Package_DFN_QFN:Texas_S-PVQFN-N14_ThermalVias)
- (libsource (lib sn74) (part SN74CBTLV3126RGY) (description ""))
- (sheetpath (names /periph/) (tstamps /619DBCF0/))
- (tstamp 621B60B6))
- (comp (ref U207)
- (value SN74LVC2G66DCU)
- (footprint Package_SO:VSSOP-8_2.4x2.1mm_P0.5mm)
- (libsource (lib sn74) (part SN74LVC2G66DCU) (description ""))
- (sheetpath (names /periph/) (tstamps /619DBCF0/))
- (tstamp 62214F88))
- (comp (ref C228)
+ (comp (ref C225)
(value 0.01uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 600FE38B))
- (comp (ref C229)
+ (comp (ref C226)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 600FF901))
- (comp (ref C227)
+ (comp (ref C224)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 60100C61))
- (comp (ref C226)
+ (comp (ref C223)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 6084ED71))
- (comp (ref U209)
+ (comp (ref U206)
(value LSM9DS1)
(footprint Package_LGA:LGA-24L_3x3.5mm_P0.43mm)
(libsource (lib st) (part LSM9DS1) (description "I2C SPI 9 axis IMU accelerometer gyroscope magnetometer"))
(sheetpath (names /periph/) (tstamps /619DBCF0/))
(tstamp 5FE708AF))
+ (comp (ref JP202)
+ (value Q.DTR)
+ (footprint Jumper:SolderJumper-2_P1.3mm_Open_RoundedPad1.0x1.5mm)
+ (datasheet ~)
+ (libsource (lib Device) (part Jumper_NC_Small) (description "Jumper, normally closed, small symbol"))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
+ (tstamp 5E090092))
+ (comp (ref JP201)
+ (value "LEVEL EN")
+ (footprint Jumper:SolderJumper-3_P1.3mm_Open_RoundedPad1.0x1.5mm)
+ (libsource (lib Device) (part Jumper_NC_Dual) (description "Dual jumper, normally closed"))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
+ (tstamp 5C769C25))
+ (comp (ref SW202)
+ (value PERST)
+ (footprint Button_Switch_SMD:SW_SPST_PTS810)
+ (datasheet ~)
+ (libsource (lib Switch) (part SW_Push) (description "Push button switch, generic, two pins"))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
+ (tstamp 60127DC0))
+ (comp (ref C220)
+ (value 10nF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
+ (tstamp 601D56EF))
+ (comp (ref R208)
+ (value 47K)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
+ (tstamp 5C6D9747))
+ (comp (ref J205)
+ (value Prog)
+ (footprint Connector_FFC-FPC:Hirose_FH12-12S-0.5SH_1x12-1MP_P0.50mm_Horizontal)
+ (datasheet ~)
+ (libsource (lib Connector_Generic) (part Conn_01x12) (description "Generic connector, single row, 01x12, script generated (kicad-library-utils/schlib/autogen/connector/)"))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
+ (tstamp 60922A25))
+ (comp (ref J206)
+ (value Expansion)
+ (footprint Connector_Molex:Molex_SlimStack_54722-0164_2x08_P0.50mm_Vertical)
+ (datasheet ~)
+ (libsource (lib Connector_Generic) (part Conn_02x08_Odd_Even) (description "Generic connector, double row, 02x08, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)"))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
+ (tstamp 60922A40))
+ (comp (ref J207)
+ (value Camera)
+ (footprint Connector_Molex:Molex_SlimStack_54722-0164_2x08_P0.50mm_Vertical)
+ (datasheet ~)
+ (libsource (lib Connector_Generic) (part Conn_02x08_Odd_Even) (description "Generic connector, double row, 02x08, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)"))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
+ (tstamp 60922A83))
+ (comp (ref U208)
+ (value TMUX154ERSW)
+ (footprint footprints:UQFN-10_1.4x1.8mm_P0.4mm)
+ (libsource (lib tmux) (part TMUX154ERSW) (description ""))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
+ (tstamp 601915C9))
+ (comp (ref C229)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
+ (tstamp 60197156))
+ (comp (ref C230)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
+ (tstamp 6048896D))
+ (comp (ref R209)
+ (value 4.7K)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
+ (tstamp 62EAF500))
+ (comp (ref R210)
+ (value 10K)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
+ (tstamp 62EAFF77))
+ (comp (ref U207)
+ (value FT230XQ)
+ (footprint Package_DFN_QFN:QFN-16-1EP_4x4mm_P0.65mm_EP2.1x2.1mm)
+ (datasheet https://www.ftdichip.com/Support/Documents/DataSheets/ICs/DS_FT230X.pdf)
+ (libsource (lib ftdi) (part FT230XQ) (description "Full Speed USB to Basic UART, QFN-16"))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
+ (tstamp 6019C43F))
+ (comp (ref C228)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
+ (tstamp 6029200D))
+ (comp (ref C219)
+ (value 10nF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
+ (tstamp 60344EA4))
+ (comp (ref U205)
+ (value DRV2605LDGS)
+ (footprint Package_SO:VSSOP-10_3x3mm_P0.5mm)
+ (libsource (lib drv) (part DRV2605LDGS) (description ""))
+ (sheetpath (names /periph/) (tstamps /619DBCF0/))
+ (tstamp 606CD318))
(comp (ref C301)
(value 4.7uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
@@ -1114,53 +1179,61 @@
(tstamp 5C8F18E5))
(comp (ref C314)
(value 22uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
+ (footprint Capacitor_SMD:C_0805_2012Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /exp/) (tstamps /61A17BF3/))
(tstamp 5C8F1C4F))
(comp (ref C315)
(value 22uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
+ (footprint Capacitor_SMD:C_0805_2012Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /exp/) (tstamps /61A17BF3/))
(tstamp 5C8F1D0B))
(comp (ref C316)
(value 22uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
+ (footprint Capacitor_SMD:C_0805_2012Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /exp/) (tstamps /61A17BF3/))
(tstamp 5C8F1D61))
(comp (ref C317)
(value 22uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
+ (footprint Capacitor_SMD:C_0805_2012Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /exp/) (tstamps /61A17BF3/))
(tstamp 5C8F1DBA))
(comp (ref C318)
(value 22uF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
+ (footprint Capacitor_SMD:C_0805_2012Metric)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /exp/) (tstamps /61A17BF3/))
(tstamp 5C8F1E4E))
- (comp (ref J302)
- (value Prog)
- (footprint Connector_FFC-FPC:Hirose_FH12-12S-0.5SH_1x12-1MP_P0.50mm_Horizontal)
- (datasheet ~)
- (libsource (lib Connector_Generic) (part Conn_01x12) (description "Generic connector, single row, 01x12, script generated (kicad-library-utils/schlib/autogen/connector/)"))
- (sheetpath (names /exp/) (tstamps /61A17BF3/))
- (tstamp 5DAD3DBD))
(comp (ref J301)
(value iMX8)
(footprint footprints:Socket_SODIMM_DDR3_TE_2013289)
(libsource (lib myConn) (part iMX8) (description ""))
(sheetpath (names /exp/) (tstamps /61A17BF3/))
(tstamp 61A6861D))
- (comp (ref U405)
- (value DS90C185)
- (footprint Package_DFN_QFN:QFN-48-1EP_6x6mm_P0.4mm_EP4.6x4.6mm_ThermalVias)
- (libsource (lib ds90) (part DS90C185) (description ""))
- (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
- (tstamp 5FC4DF5C))
+ (comp (ref J305)
+ (value Micro_SD_Card)
+ (footprint footprints:microSD)
+ (datasheet http://katalog.we-online.de/em/datasheet/693072010801.pdf)
+ (libsource (lib Connector) (part Micro_SD_Card) (description "Micro SD Card Socket"))
+ (sheetpath (names /exp/) (tstamps /61A17BF3/))
+ (tstamp 60293CF2))
+ (comp (ref C319)
+ (value 0.1uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /exp/) (tstamps /61A17BF3/))
+ (tstamp 6048AD65))
+ (comp (ref JP301)
+ (value ALT_BOOT)
+ (footprint Jumper:SolderJumper-2_P1.3mm_Open_RoundedPad1.0x1.5mm)
+ (datasheet ~)
+ (libsource (lib Device) (part Jumper_NC_Small) (description "Jumper, normally closed, small symbol"))
+ (sheetpath (names /exp/) (tstamps /61A17BF3/))
+ (tstamp 6062AC2B))
(comp (ref C427)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
@@ -1288,12 +1361,6 @@
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /disp/) (tstamps /5FC4BBBD/))
(tstamp 5C13326A))
- (comp (ref C405)
- (value 27pF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
- (tstamp 5C133743))
(comp (ref C404)
(value 10uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
@@ -1318,14 +1385,8 @@
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /disp/) (tstamps /5FC4BBBD/))
(tstamp 6118111F))
- (comp (ref C407)
- (value 27pF)
- (footprint Capacitor_SMD:C_0603_1608Metric)
- (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
- (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
- (tstamp 61181120))
(comp (ref R405)
- (value R)
+ (value 68R)
(footprint Resistor_SMD:R_0603_1608Metric)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /disp/) (tstamps /5FC4BBBD/))
@@ -1337,13 +1398,13 @@
(sheetpath (names /disp/) (tstamps /5FC4BBBD/))
(tstamp 61181124))
(comp (ref C409)
- (value 18pF)
+ (value 10pF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
(sheetpath (names /disp/) (tstamps /5FC4BBBD/))
(tstamp 61181125))
(comp (ref C410)
- (value 18pF)
+ (value 10pF)
(footprint Capacitor_SMD:C_0603_1608Metric)
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
(sheetpath (names /disp/) (tstamps /5FC4BBBD/))
@@ -1443,12 +1504,6 @@
(libsource (lib Device) (part C_Small) (description "Unpolarized capacitor, small symbol"))
(sheetpath (names /disp/) (tstamps /5FC4BBBD/))
(tstamp 611AD3DD))
- (comp (ref U403)
- (value BT815Q)
- (footprint Package_DFN_QFN:QFN-64-1EP_9x9mm_P0.5mm_EP7.3x7.3mm_ThermalVias)
- (libsource (lib ft81x) (part BT815Q) (description ""))
- (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
- (tstamp 61181142))
(comp (ref R411)
(value 47K)
(footprint Resistor_SMD:R_0603_1608Metric)
@@ -1456,30 +1511,12 @@
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /disp/) (tstamps /5FC4BBBD/))
(tstamp 5F5D73A1))
- (comp (ref R416)
- (value 1K)
- (footprint Resistor_SMD:R_0603_1608Metric)
- (libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
- (tstamp 6272DFCD))
(comp (ref R415)
- (value 1K)
- (footprint Resistor_SMD:R_0603_1608Metric)
- (libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
- (tstamp 6272DFD3))
- (comp (ref R414)
- (value 10K)
- (footprint Resistor_SMD:R_0603_1608Metric)
- (libsource (lib Device) (part R) (description Resistor))
- (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
- (tstamp 6272DFD9))
- (comp (ref R417)
- (value 100K)
+ (value R)
(footprint Resistor_SMD:R_0603_1608Metric)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /disp/) (tstamps /5FC4BBBD/))
- (tstamp 6272DFDF))
+ (tstamp 6272DFCD))
(comp (ref C439)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
@@ -1500,12 +1537,6 @@
(libsource (lib issi) (part IS25LP128-JKLE) (description ""))
(sheetpath (names /disp/) (tstamps /5FC4BBBD/))
(tstamp 6179ADC4))
- (comp (ref U406)
- (value TS3DV520E)
- (footprint footprints:Texas_R-PWQFN-N42)
- (libsource (lib ts) (part TS3DV520E) (description ""))
- (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
- (tstamp 6179D771))
(comp (ref U401)
(value FAN5333BSX)
(footprint Package_TO_SOT_SMD:SOT-23-5)
@@ -1593,12 +1624,6 @@
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /disp/) (tstamps /5FC4BBBD/))
(tstamp 60235C46))
- (comp (ref U409)
- (value SN74LVC2G06DRY)
- (footprint footprints:USON-6_1.45x1mm_P0.5mm)
- (libsource (lib sn74) (part SN74LVC2G06DRY) (description ""))
- (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
- (tstamp 5FDB14C9))
(comp (ref C438)
(value 0.1uF)
(footprint Capacitor_SMD:C_0603_1608Metric)
@@ -1613,19 +1638,78 @@
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /disp/) (tstamps /5FC4BBBD/))
(tstamp 5FDB3F7D))
+ (comp (ref R412)
+ (value 47K)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 61B45DCC))
+ (comp (ref U409)
+ (value SN74LVC2G06DCK)
+ (footprint Package_TO_SOT_SMD:Texas_R-PDSO-G6)
+ (libsource (lib sn74) (part SN74LVC2G06DCK) (description ""))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 5FF3CD87))
+ (comp (ref R418)
+ (value 100K)
+ (footprint Resistor_SMD:R_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part R) (description Resistor))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 6026DA78))
(comp (ref JP401)
(value CTP_PWR)
(footprint Jumper:SolderJumper-3_P1.3mm_Open_RoundedPad1.0x1.5mm)
+ (datasheet ~)
+ (libsource (lib Jumper) (part SolderJumper_3_Open) (description "Solder Jumper, 3-pole, open"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 604ABB9A))
+ (comp (ref JP402)
+ (value VOD_SEL)
+ (footprint Jumper:SolderJumper-3_P1.3mm_Open_RoundedPad1.0x1.5mm)
+ (datasheet ~)
(libsource (lib Device) (part Jumper_NC_Dual) (description "Dual jumper, normally closed"))
(sheetpath (names /disp/) (tstamps /5FC4BBBD/))
- (tstamp 6137DF2C))
- (comp (ref R412)
- (value 47K)
- (footprint Resistor_SMD:R_0603_1608Metric)
+ (tstamp 60AEBD6C))
+ (comp (ref U405)
+ (value DS90C185)
+ (footprint Package_DFN_QFN:QFN-48-1EP_6x6mm_P0.4mm_EP4.6x4.6mm_ThermalVias)
+ (libsource (lib ds90) (part DS90C185) (description ""))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 61009BFD))
+ (comp (ref TP401)
+ (value AUDIO)
+ (footprint TestPoint:TestPoint_Pad_D1.5mm)
(datasheet ~)
+ (libsource (lib Connector) (part TestPoint) (description "test point"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 6100EDA6))
+ (comp (ref U403)
+ (value BT817Q)
+ (footprint Package_DFN_QFN:QFN-64-1EP_9x9mm_P0.5mm_EP6x6mm_ThermalVias)
+ (libsource (lib ftdi) (part BT817Q) (description ""))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 600B95C2))
+ (comp (ref C441)
+ (value 10uF)
+ (footprint Capacitor_SMD:C_0603_1608Metric)
+ (datasheet ~)
+ (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 601D1E30))
+ (comp (ref R414)
+ (value R)
+ (footprint Resistor_SMD:R_0603_1608Metric)
(libsource (lib Device) (part R) (description Resistor))
(sheetpath (names /disp/) (tstamps /5FC4BBBD/))
- (tstamp 61B45DCC)))
+ (tstamp 6272DFD3))
+ (comp (ref U406)
+ (value TS3DV520ERUA)
+ (footprint footprints:Texas_R-PWQFN-N42)
+ (libsource (lib ts) (part TS3DV520ERUA) (description ""))
+ (sheetpath (names /disp/) (tstamps /5FC4BBBD/))
+ (tstamp 6067EE0C)))
(libparts
(libpart (lib 74xx) (part 74HC595)
(aliases
@@ -1723,6 +1807,17 @@
(pin (num 5) (name GND) (type power_in))
(pin (num 6) (name VPP) (type input))
(pin (num 7) (name I/O) (type BiDi))))
+ (libpart (lib Connector) (part TestPoint)
+ (description "test point")
+ (docs ~)
+ (footprints
+ (fp Pin*)
+ (fp Test*))
+ (fields
+ (field (name Reference) TP)
+ (field (name Value) TestPoint))
+ (pins
+ (pin (num 1) (name 1) (type passive))))
(libpart (lib Connector) (part USB_B_Micro)
(aliases
(alias USB_B_Mini))
@@ -1751,6 +1846,19 @@
(pins
(pin (num 1) (name Pin_1) (type passive))
(pin (num 2) (name Pin_2) (type passive))))
+ (libpart (lib Connector_Generic) (part Conn_01x04)
+ (description "Generic connector, single row, 01x04, script generated (kicad-library-utils/schlib/autogen/connector/)")
+ (docs ~)
+ (footprints
+ (fp Connector*:*_1x??_*))
+ (fields
+ (field (name Reference) J)
+ (field (name Value) Conn_01x04))
+ (pins
+ (pin (num 1) (name Pin_1) (type passive))
+ (pin (num 2) (name Pin_2) (type passive))
+ (pin (num 3) (name Pin_3) (type passive))
+ (pin (num 4) (name Pin_4) (type passive))))
(libpart (lib Connector_Generic) (part Conn_01x08)
(description "Generic connector, single row, 01x08, script generated (kicad-library-utils/schlib/autogen/connector/)")
(docs ~)
@@ -1824,6 +1932,31 @@
(pin (num 24) (name Pin_24) (type passive))
(pin (num 25) (name Pin_25) (type passive))
(pin (num 26) (name Pin_26) (type passive))))
+ (libpart (lib Connector_Generic) (part Conn_02x08_Odd_Even)
+ (description "Generic connector, double row, 02x08, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)")
+ (docs ~)
+ (footprints
+ (fp Connector*:*_2x??_*))
+ (fields
+ (field (name Reference) J)
+ (field (name Value) Conn_02x08_Odd_Even))
+ (pins
+ (pin (num 1) (name Pin_1) (type passive))
+ (pin (num 2) (name Pin_2) (type passive))
+ (pin (num 3) (name Pin_3) (type passive))
+ (pin (num 4) (name Pin_4) (type passive))
+ (pin (num 5) (name Pin_5) (type passive))
+ (pin (num 6) (name Pin_6) (type passive))
+ (pin (num 7) (name Pin_7) (type passive))
+ (pin (num 8) (name Pin_8) (type passive))
+ (pin (num 9) (name Pin_9) (type passive))
+ (pin (num 10) (name Pin_10) (type passive))
+ (pin (num 11) (name Pin_11) (type passive))
+ (pin (num 12) (name Pin_12) (type passive))
+ (pin (num 13) (name Pin_13) (type passive))
+ (pin (num 14) (name Pin_14) (type passive))
+ (pin (num 15) (name Pin_15) (type passive))
+ (pin (num 16) (name Pin_16) (type passive))))
(libpart (lib Connector_Generic) (part Conn_02x26_Odd_Even)
(description "Generic connector, double row, 02x26, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)")
(docs ~)
@@ -2025,15 +2158,18 @@
(pins
(pin (num 1) (name ~) (type passive))
(pin (num 2) (name ~) (type passive))))
- (libpart (lib Device) (part Speaker)
- (description Speaker)
+ (libpart (lib Jumper) (part SolderJumper_3_Open)
+ (description "Solder Jumper, 3-pole, open")
(docs ~)
+ (footprints
+ (fp SolderJumper*Open*))
(fields
- (field (name Reference) LS)
- (field (name Value) Speaker))
+ (field (name Reference) JP)
+ (field (name Value) SolderJumper_3_Open))
(pins
- (pin (num 1) (name 1) (type input))
- (pin (num 2) (name 2) (type input))))
+ (pin (num 1) (name A) (type passive))
+ (pin (num 2) (name C) (type input))
+ (pin (num 3) (name B) (type passive))))
(libpart (lib Logic_LevelTranslator) (part TXB0104RGY)
(description "4-Bit Bidirectional Voltage-Level Translator, Auto Direction Sensing and ±15-kV ESD Protection, 1.2 - 3.6V APort, 1.65 - 5.5V BPort, Texas_PVGFN-14")
(docs http://www.ti.com/lit/ds/symlink/txb0104.pdf)
@@ -2115,10 +2251,10 @@
(pin (num 9) (name 9) (type passive))
(pin (num 10) (name 10) (type passive))
(pin (num 11) (name 11) (type passive))))
- (libpart (lib drv) (part DRV2605L)
+ (libpart (lib drv) (part DRV2605LDGS)
(fields
(field (name Reference) U)
- (field (name Value) DRV2605L))
+ (field (name Value) DRV2605LDGS))
(pins
(pin (num 1) (name REG) (type output))
(pin (num 2) (name SCL) (type input))
@@ -2238,10 +2374,10 @@
(pin (num 3) (name FB) (type passive))
(pin (num 4) (name /SHDN) (type input))
(pin (num 5) (name VIN) (type power_in))))
- (libpart (lib ft81x) (part BT815Q)
+ (libpart (lib ftdi) (part BT817Q)
(fields
(field (name Reference) U)
- (field (name Value) BT815Q))
+ (field (name Value) BT817Q))
(pins
(pin (num 1) (name R0) (type output))
(pin (num 2) (name VIN1V2) (type power_in))
@@ -2308,6 +2444,31 @@
(pin (num 63) (name R2) (type output))
(pin (num 64) (name R1) (type output))
(pin (num 65) (name EP) (type power_in))))
+ (libpart (lib ftdi) (part FT230XQ)
+ (description "Full Speed USB to Basic UART, QFN-16")
+ (docs https://www.ftdichip.com/Support/Documents/DataSheets/ICs/DS_FT230X.pdf)
+ (fields
+ (field (name Reference) U)
+ (field (name Value) FT230XQ)
+ (field (name Footprint) Package_DFN_QFN:QFN-16-1EP_4x4mm_P0.65mm_EP2.1x2.1mm))
+ (pins
+ (pin (num 1) (name VCCIO) (type power_in))
+ (pin (num 2) (name RXD) (type input))
+ (pin (num 3) (name GND) (type power_in))
+ (pin (num 4) (name ~CTS) (type input))
+ (pin (num 5) (name CBUS2) (type BiDi))
+ (pin (num 6) (name USBDP) (type BiDi))
+ (pin (num 7) (name USBDM) (type BiDi))
+ (pin (num 8) (name 3V3OUT) (type input))
+ (pin (num 9) (name ~RESET) (type input))
+ (pin (num 10) (name VCC) (type power_in))
+ (pin (num 11) (name CBUS1) (type BiDi))
+ (pin (num 12) (name CBUS0) (type BiDi))
+ (pin (num 13) (name GND) (type power_in))
+ (pin (num 14) (name CBUS3) (type BiDi))
+ (pin (num 15) (name TXD) (type output))
+ (pin (num 16) (name ~RTS) (type output))
+ (pin (num 17) (name GND) (type power_in))))
(libpart (lib ics) (part ICS-43434)
(fields
(field (name Reference) U)
@@ -2419,13 +2580,13 @@
(pin (num 58) (name PIN58) (type BiDi))
(pin (num 59) (name PIN59) (type BiDi))
(pin (num 60) (name PIN60) (type BiDi))
- (pin (num 61) (name PIN61) (type BiDi))
+ (pin (num 61) (name PIN61) (type input))
(pin (num 62) (name PIN62) (type BiDi))
(pin (num 63) (name PIN63) (type BiDi))
(pin (num 64) (name PIN64) (type power_in))
(pin (num 65) (name PIN65) (type BiDi))
(pin (num 66) (name PIN66) (type BiDi))
- (pin (num 67) (name PIN67) (type BiDi))
+ (pin (num 67) (name PIN67) (type input))
(pin (num 68) (name PIN68) (type BiDi))
(pin (num 69) (name PIN69) (type BiDi))
(pin (num 70) (name PIN70) (type BiDi))
@@ -2563,10 +2724,10 @@
(pin (num 202) (name PIN202) (type BiDi))
(pin (num 203) (name PIN203) (type BiDi))
(pin (num 204) (name PIN204) (type power_in))))
- (libpart (lib sifive) (part E310-G002)
+ (libpart (lib sifive) (part FE310-G002)
(fields
(field (name Reference) U)
- (field (name Value) E310-G002))
+ (field (name Value) FE310-G002))
(pins
(pin (num 1) (name QSPI_DQ_3) (type BiDi))
(pin (num 2) (name QSPI_DQ_2) (type BiDi))
@@ -2646,41 +2807,20 @@
(pin (num 13) (name /4OE) (type input))
(pin (num 14) (name VCC) (type power_in))
(pin (num 15) (name EP) (type power_in))))
- (libpart (lib sn74) (part SN74CBTLV3126RGY)
+ (libpart (lib sn74) (part SN74LVC1G04DCK)
(fields
(field (name Reference) U)
- (field (name Value) SN74CBTLV3126RGY))
- (pins
- (pin (num 1) (name 1OE) (type input))
- (pin (num 2) (name 1A) (type passive))
- (pin (num 3) (name 1B) (type passive))
- (pin (num 4) (name 2OE) (type input))
- (pin (num 5) (name 2A) (type passive))
- (pin (num 6) (name 2B) (type passive))
- (pin (num 7) (name GND) (type power_in))
- (pin (num 8) (name 3B) (type passive))
- (pin (num 9) (name 3A) (type passive))
- (pin (num 10) (name 3OE) (type input))
- (pin (num 11) (name 4B) (type passive))
- (pin (num 12) (name 4A) (type passive))
- (pin (num 13) (name 4OE) (type input))
- (pin (num 14) (name VCC) (type power_in))
- (pin (num 15) (name EP) (type power_in))))
- (libpart (lib sn74) (part SN74LVC1G04DRY)
- (fields
- (field (name Reference) U)
- (field (name Value) SN74LVC1G04DRY))
+ (field (name Value) SN74LVC1G04DCK))
(pins
(pin (num 1) (name ~) (type NotConnected))
(pin (num 2) (name A) (type input))
(pin (num 3) (name GND) (type power_in))
(pin (num 4) (name Y) (type output))
- (pin (num 5) (name ~) (type NotConnected))
- (pin (num 6) (name VCC) (type power_in))))
- (libpart (lib sn74) (part SN74LVC1G3157DRY)
+ (pin (num 5) (name VCC) (type power_in))))
+ (libpart (lib sn74) (part SN74LVC1G3157DCK)
(fields
(field (name Reference) U)
- (field (name Value) SN74LVC1G3157DRY))
+ (field (name Value) SN74LVC1G3157DCK))
(pins
(pin (num 1) (name B2) (type BiDi))
(pin (num 2) (name GND) (type power_in))
@@ -2688,10 +2828,10 @@
(pin (num 4) (name A) (type BiDi))
(pin (num 5) (name VCC) (type power_in))
(pin (num 6) (name S) (type input))))
- (libpart (lib sn74) (part SN74LVC2G06DRY)
+ (libpart (lib sn74) (part SN74LVC2G06DCK)
(fields
(field (name Reference) U)
- (field (name Value) SN74LVC2G06DRY))
+ (field (name Value) SN74LVC2G06DCK))
(pins
(pin (num 1) (name 1A) (type input))
(pin (num 2) (name GND) (type power_in))
@@ -2699,19 +2839,17 @@
(pin (num 4) (name 2Y) (type openCol))
(pin (num 5) (name VCC) (type power_in))
(pin (num 6) (name 1Y) (type openCol))))
- (libpart (lib sn74) (part SN74LVC2G66DCU)
+ (libpart (lib sn74) (part SN74LVC2G07DCK)
(fields
(field (name Reference) U)
- (field (name Value) SN74LVC2G66DCU))
+ (field (name Value) SN74LVC2G07DCK))
(pins
- (pin (num 1) (name 1A) (type passive))
- (pin (num 2) (name 1B) (type passive))
- (pin (num 3) (name 2C) (type input))
- (pin (num 4) (name GND) (type power_in))
- (pin (num 5) (name 2A) (type passive))
- (pin (num 6) (name 2B) (type passive))
- (pin (num 7) (name 1C) (type input))
- (pin (num 8) (name VCC) (type power_in))))
+ (pin (num 1) (name 1A) (type input))
+ (pin (num 2) (name GND) (type power_in))
+ (pin (num 3) (name 2A) (type input))
+ (pin (num 4) (name 2Y) (type openCol))
+ (pin (num 5) (name VCC) (type power_in))
+ (pin (num 6) (name 1Y) (type openCol))))
(libpart (lib sn74) (part SN74LVC3G06DCU)
(fields
(field (name Reference) U)
@@ -2736,8 +2874,8 @@
(pin (num 2) (name SCL/SPC) (type input))
(pin (num 3) (name VDDIO) (type power_in))
(pin (num 4) (name SDA/SDI/SDO) (type BiDi))
- (pin (num 5) (name SDO_A/G) (type passive))
- (pin (num 6) (name SDO_M) (type passive))
+ (pin (num 5) (name SDO_A/G) (type input))
+ (pin (num 6) (name SDO_M) (type input))
(pin (num 7) (name CS_A/G) (type input))
(pin (num 8) (name CS_M) (type input))
(pin (num 9) (name DRDY_M) (type output))
@@ -2756,6 +2894,21 @@
(pin (num 22) (name VDD) (type power_in))
(pin (num 23) (name VDD) (type power_in))
(pin (num 24) (name C1) (type passive))))
+ (libpart (lib tmux) (part TMUX154ERSW)
+ (fields
+ (field (name Reference) U)
+ (field (name Value) TMUX154ERSW))
+ (pins
+ (pin (num 1) (name A0) (type BiDi))
+ (pin (num 2) (name A1) (type BiDi))
+ (pin (num 3) (name A) (type BiDi))
+ (pin (num 4) (name GND) (type power_in))
+ (pin (num 5) (name B) (type BiDi))
+ (pin (num 6) (name B1) (type BiDi))
+ (pin (num 7) (name B0) (type BiDi))
+ (pin (num 8) (name /EN) (type input))
+ (pin (num 9) (name VCC) (type power_in))
+ (pin (num 10) (name SEL) (type input))))
(libpart (lib tmux) (part TMUX1574RSV)
(fields
(field (name Reference) U)
@@ -2777,17 +2930,6 @@
(pin (num 14) (name VCC) (type power_in))
(pin (num 15) (name SEL) (type input))
(pin (num 16) (name S1A) (type BiDi))))
- (libpart (lib tps) (part TPS22917)
- (fields
- (field (name Reference) U)
- (field (name Value) TPS22917))
- (pins
- (pin (num 1) (name VIN) (type power_in))
- (pin (num 2) (name GND) (type power_in))
- (pin (num 3) (name ON) (type input))
- (pin (num 4) (name CT) (type input))
- (pin (num 5) (name QOD) (type output))
- (pin (num 6) (name VOUT) (type power_out))))
(libpart (lib tps) (part TPS62293)
(fields
(field (name Reference) U)
@@ -2819,10 +2961,10 @@
(pin (num 12) (name VOUT) (type power_out))
(pin (num 13) (name VOUT) (type passive))
(pin (num 14) (name VOUT) (type passive))))
- (libpart (lib ts) (part TS3DV520E)
+ (libpart (lib ts) (part TS3DV520ERUA)
(fields
(field (name Reference) U)
- (field (name Value) TS3DV520E))
+ (field (name Value) TS3DV520ERUA))
(pins
(pin (num 1) (name VCC) (type power_in))
(pin (num 2) (name A0) (type BiDi))
@@ -2896,6 +3038,8 @@
(uri "/Library/Application Support/kicad/library/Connector_Generic.lib"))
(library (logical Device)
(uri "/Library/Application Support/kicad/library/Device.lib"))
+ (library (logical Jumper)
+ (uri "/Library/Application Support/kicad/library/Jumper.lib"))
(library (logical Logic_LevelTranslator)
(uri "/Library/Application Support/kicad/library/Logic_LevelTranslator.lib"))
(library (logical Switch)
@@ -2912,8 +3056,8 @@
(uri /Volumes/rvPhone/repo/hw/rvPhone/../library/esp.lib))
(library (logical fan)
(uri /Volumes/rvPhone/repo/hw/rvPhone/../library/fan.lib))
- (library (logical ft81x)
- (uri /Volumes/rvPhone/repo/hw/rvPhone/../library/ft81x.lib))
+ (library (logical ftdi)
+ (uri /Volumes/rvPhone/repo/hw/rvPhone/../library/ftdi.lib))
(library (logical ics)
(uri /Volumes/rvPhone/repo/hw/rvPhone/../library/ics.lib))
(library (logical issi)
@@ -2929,7 +3073,7 @@
(library (logical sn74)
(uri /Volumes/rvPhone/repo/hw/rvPhone/../library/sn74.lib))
(library (logical st)
- (uri /Volumes/rvPhone/repo/hw/library/st.lib))
+ (uri /Volumes/rvPhone/repo/hw/rvPhone/../library/st.lib))
(library (logical tmux)
(uri /Volumes/rvPhone/repo/hw/rvPhone/../library/tmux.lib))
(library (logical tps)
@@ -2939,1206 +3083,1243 @@
(library (logical tx)
(uri /Volumes/rvPhone/repo/hw/rvPhone/../library/tx.lib)))
(nets
- (net (code 1) (name "Net-(U10-Pad15)")
- (node (ref U10) (pin 15)))
- (net (code 2) (name "Net-(U10-Pad1)")
- (node (ref U10) (pin 1)))
- (net (code 3) (name "Net-(U10-Pad2)")
- (node (ref U10) (pin 2)))
- (net (code 4) (name "Net-(U10-Pad3)")
- (node (ref U10) (pin 3)))
- (net (code 5) (name "Net-(U10-Pad4)")
- (node (ref U10) (pin 4)))
- (net (code 6) (name "Net-(U10-Pad5)")
- (node (ref U10) (pin 5)))
- (net (code 7) (name "Net-(U10-Pad6)")
- (node (ref U10) (pin 6)))
- (net (code 8) (name "Net-(U10-Pad7)")
- (node (ref U10) (pin 7)))
- (net (code 9) (name "Net-(U9-Pad15)")
- (node (ref U9) (pin 15)))
- (net (code 10) (name "Net-(U9-Pad1)")
- (node (ref U9) (pin 1)))
- (net (code 11) (name "Net-(U9-Pad2)")
- (node (ref U9) (pin 2)))
- (net (code 12) (name "Net-(U9-Pad3)")
- (node (ref U9) (pin 3)))
- (net (code 13) (name "Net-(U9-Pad4)")
- (node (ref U9) (pin 4)))
- (net (code 14) (name "Net-(U9-Pad5)")
- (node (ref U9) (pin 5)))
- (net (code 15) (name "Net-(U9-Pad6)")
- (node (ref U9) (pin 6)))
- (net (code 16) (name "Net-(U9-Pad7)")
- (node (ref U9) (pin 7)))
- (net (code 17) (name PWM1.2)
- (node (ref U7) (pin 2))
- (node (ref U4) (pin 43))
- (node (ref U7) (pin 13))
- (node (ref R17) (pin 2)))
- (net (code 18) (name GPIO_18)
- (node (ref U7) (pin 5))
- (node (ref U7) (pin 10))
- (node (ref U4) (pin 40))
- (node (ref U7) (pin 9))
- (node (ref R21) (pin 2)))
- (net (code 19) (name "Net-(L2-Pad1)")
- (node (ref L2) (pin 1))
- (node (ref U2) (pin 1)))
- (net (code 20) (name +1V8)
+ (net (code 1) (name "Net-(L2-Pad1)")
+ (node (ref U2) (pin 1))
+ (node (ref L2) (pin 1)))
+ (net (code 2) (name +1V8)
+ (node (ref C423) (pin 1))
+ (node (ref C422) (pin 1))
+ (node (ref L2) (pin 2))
+ (node (ref C8) (pin 1))
+ (node (ref C424) (pin 1))
+ (node (ref C425) (pin 1))
+ (node (ref C426) (pin 1))
+ (node (ref C414) (pin 1))
+ (node (ref C208) (pin 1))
+ (node (ref C206) (pin 1))
+ (node (ref C403) (pin 1))
+ (node (ref R401) (pin 1))
+ (node (ref C436) (pin 1))
(node (ref U203) (pin 1))
(node (ref U203) (pin 8))
(node (ref U204) (pin 1))
- (node (ref C436) (pin 1))
- (node (ref R401) (pin 1))
- (node (ref C403) (pin 1))
- (node (ref U406) (pin 7))
- (node (ref U406) (pin 40))
- (node (ref U406) (pin 4))
- (node (ref U406) (pin 31))
- (node (ref U406) (pin 22))
- (node (ref U406) (pin 13))
- (node (ref U406) (pin 1))
- (node (ref U403) (pin 28))
- (node (ref R12) (pin 1))
- (node (ref C20) (pin 1))
- (node (ref C19) (pin 1))
- (node (ref C414) (pin 1))
(node (ref U408) (pin 1))
(node (ref U402) (pin 8))
(node (ref J402) (pin 2))
- (node (ref U409) (pin 5))
(node (ref C438) (pin 1))
- (node (ref C8) (pin 1))
- (node (ref C21) (pin 1))
- (node (ref L2) (pin 2))
- (node (ref C426) (pin 1))
- (node (ref C425) (pin 1))
- (node (ref C424) (pin 1))
- (node (ref C423) (pin 1))
- (node (ref C422) (pin 1))
(node (ref C421) (pin 1))
- (node (ref C433) (pin 1))
- (node (ref C432) (pin 1))
- (node (ref C431) (pin 1))
- (node (ref C430) (pin 1))
- (node (ref C429) (pin 1))
- (node (ref C428) (pin 1))
- (node (ref C427) (pin 1))
- (node (ref U405) (pin 48))
- (node (ref U405) (pin 25))
+ (node (ref U409) (pin 5))
+ (node (ref U2) (pin 3))
+ (node (ref JP401) (pin 1))
+ (node (ref JP402) (pin 1))
(node (ref U405) (pin 13))
- (node (ref U4) (pin 46))
- (node (ref C208) (pin 1))
+ (node (ref U405) (pin 25))
+ (node (ref U405) (pin 48))
+ (node (ref U403) (pin 28))
+ (node (ref C441) (pin 2))
(node (ref JP201) (pin 3))
- (node (ref C206) (pin 1))
- (node (ref U4) (pin 30))
(node (ref U4) (pin 6))
- (node (ref JP401) (pin 1))
- (node (ref U2) (pin 3)))
- (net (code 21) (name GPIO_23)
- (node (ref U4) (pin 45))
- (node (ref J204) (pin 1)))
- (net (code 22) (name GPIO_22)
- (node (ref U201) (pin 33))
- (node (ref U4) (pin 44)))
- (net (code 23) (name GPIO_20)
- (node (ref U4) (pin 42))
- (node (ref U201) (pin 36)))
- (net (code 24) (name PWM1.1)
- (node (ref U4) (pin 41))
- (node (ref U6) (pin 2)))
- (net (code 25) (name UART0.TX)
- (node (ref J302) (pin 10))
- (node (ref U4) (pin 39)))
- (net (code 26) (name UART0.RX)
- (node (ref J302) (pin 11))
- (node (ref U4) (pin 38)))
- (net (code 27) (name GPIO_13)
- (node (ref U4) (pin 37))
- (node (ref U6) (pin 5)))
- (net (code 28) (name GPIO_12)
- (node (ref U4) (pin 36))
- (node (ref U6) (pin 7)))
- (net (code 29) (name SPI1.SS3)
- (node (ref R209) (pin 2))
- (node (ref U4) (pin 34))
- (node (ref J205) (pin 2)))
- (net (code 30) (name SPI1.SS2)
- (node (ref R407) (pin 2))
- (node (ref U4) (pin 33))
- (node (ref U403) (pin 6)))
- (net (code 31) (name SPI1.SCK)
- (node (ref U202) (pin 11))
- (node (ref U403) (pin 3))
- (node (ref U4) (pin 31))
- (node (ref J205) (pin 5))
- (node (ref U208) (pin 8))
- (node (ref J402) (pin 21)))
- (net (code 32) (name SPI1.MISO)
- (node (ref J402) (pin 19))
- (node (ref U202) (pin 6))
- (node (ref U4) (pin 29))
- (node (ref U403) (pin 4))
- (node (ref J205) (pin 7))
- (node (ref U208) (pin 6)))
- (net (code 33) (name SPI1.MOSI)
- (node (ref J205) (pin 3))
- (node (ref U403) (pin 5))
- (node (ref J402) (pin 20))
- (node (ref U208) (pin 3))
- (node (ref U202) (pin 8))
- (node (ref U4) (pin 28)))
- (net (code 34) (name SPI1.SS0)
- (node (ref U202) (pin 1))
- (node (ref U4) (pin 27))
- (node (ref R203) (pin 2))
- (node (ref U202) (pin 4))
- (node (ref U202) (pin 13))
- (node (ref U202) (pin 10)))
- (net (code 35) (name PWM0.1)
- (node (ref U6) (pin 10))
- (node (ref U4) (pin 26)))
- (net (code 36) (name GPIO_0)
- (node (ref R408) (pin 2))
- (node (ref U4) (pin 25))
- (node (ref U403) (pin 11)))
- (net (code 37) (name JTAG_TCK)
- (node (ref J302) (pin 4))
- (node (ref U4) (pin 13)))
- (net (code 38) (name JTAG_TDO)
- (node (ref U4) (pin 14))
- (node (ref J302) (pin 5)))
- (net (code 39) (name JTAG_TMS)
- (node (ref U4) (pin 15))
- (node (ref J302) (pin 6)))
- (net (code 40) (name JTAG_TDI)
- (node (ref J302) (pin 7))
- (node (ref U4) (pin 16)))
- (net (code 41) (name "Net-(U4-Pad22)")
- (node (ref U4) (pin 22)))
- (net (code 42) (name "Net-(U4-Pad17)")
- (node (ref U4) (pin 17)))
- (net (code 43) (name "Net-(R3-Pad1)")
- (node (ref U1) (pin 4))
- (node (ref R3) (pin 1)))
- (net (code 44) (name "Net-(D1-Pad1)")
- (node (ref R3) (pin 2))
- (node (ref D1) (pin 1)))
- (net (code 45) (name "Net-(J1-Pad4)")
- (node (ref J1) (pin 4)))
- (net (code 46) (name "Net-(J1-Pad6)")
- (node (ref J1) (pin 6)))
- (net (code 47) (name "Net-(L3-Pad2)")
- (node (ref L3) (pin 2))
- (node (ref U3) (pin 1)))
- (net (code 48) (name /SPK_EN)
- (node (ref U7) (pin 11))
- (node (ref U8) (pin 4))
- (node (ref U8) (pin 5))
- (node (ref R23) (pin 2))
- (node (ref R24) (pin 2))
- (node (ref U6) (pin 15)))
- (net (code 49) (name /SPK_GAIN)
- (node (ref U15) (pin 4))
- (node (ref U12) (pin 2))
- (node (ref U13) (pin 2)))
- (net (code 50) (name /SPK_SD)
- (node (ref U6) (pin 4))
- (node (ref U10) (pin 9))
- (node (ref U12) (pin 1))
- (node (ref U13) (pin 1)))
- (net (code 51) (name /SPK_L+)
- (node (ref J3) (pin 4))
- (node (ref LS1) (pin 1)))
- (net (code 52) (name /SPK_CLK)
- (node (ref U13) (pin 16))
- (node (ref U12) (pin 16))
- (node (ref U8) (pin 11)))
- (net (code 53) (name "Net-(U1-Pad24)")
- (node (ref U1) (pin 24)))
- (net (code 54) (name +3V3)
- (node (ref U209) (pin 6))
- (node (ref C31) (pin 1))
- (node (ref U209) (pin 7))
+ (node (ref U4) (pin 46))
+ (node (ref U4) (pin 30))
+ (node (ref C19) (pin 1))
+ (node (ref C21) (pin 1))
+ (node (ref C20) (pin 1))
+ (node (ref R12) (pin 1)))
+ (net (code 3) (name /MIC_CLK)
+ (node (ref U11) (pin 4))
+ (node (ref R18) (pin 2))
+ (node (ref U8) (pin 12))
+ (node (ref U8) (pin 13))
+ (node (ref U6) (pin 11))
+ (node (ref U7) (pin 1)))
+ (net (code 4) (name GPIO_18)
+ (node (ref U7) (pin 5))
+ (node (ref U4) (pin 40))
+ (node (ref U7) (pin 13))
+ (node (ref U7) (pin 12))
+ (node (ref R21) (pin 2)))
+ (net (code 5) (name +3V3)
+ (node (ref C427) (pin 1))
+ (node (ref C428) (pin 1))
(node (ref R5) (pin 1))
- (node (ref C209) (pin 1))
- (node (ref C218) (pin 2))
- (node (ref U4) (pin 47))
- (node (ref C225) (pin 1))
- (node (ref C207) (pin 1))
- (node (ref U4) (pin 32))
+ (node (ref C429) (pin 1))
+ (node (ref C430) (pin 1))
+ (node (ref C431) (pin 1))
+ (node (ref C16) (pin 1))
+ (node (ref C17) (pin 1))
+ (node (ref J305) (pin 4))
+ (node (ref C432) (pin 1))
+ (node (ref C433) (pin 1))
(node (ref R13) (pin 1))
(node (ref R14) (pin 1))
- (node (ref R7) (pin 1))
- (node (ref U209) (pin 5))
- (node (ref R202) (pin 1))
- (node (ref R201) (pin 1))
- (node (ref C202) (pin 1))
+ (node (ref C319) (pin 1))
+ (node (ref C15) (pin 1))
(node (ref C201) (pin 1))
- (node (ref U209) (pin 3))
- (node (ref U209) (pin 23))
- (node (ref U209) (pin 22))
- (node (ref C33) (pin 1))
- (node (ref R8) (pin 1))
- (node (ref C211) (pin 1))
- (node (ref U209) (pin 1))
- (node (ref C226) (pin 1))
- (node (ref C11) (pin 1))
- (node (ref U4) (pin 23))
- (node (ref U4) (pin 19))
- (node (ref C10) (pin 1))
- (node (ref C210) (pin 1))
- (node (ref U4) (pin 12))
- (node (ref U4) (pin 11))
- (node (ref U11) (pin 5))
- (node (ref U5) (pin 8))
- (node (ref X1) (pin 4))
- (node (ref C29) (pin 1))
- (node (ref C212) (pin 1))
- (node (ref U3) (pin 12))
- (node (ref U3) (pin 13))
- (node (ref U3) (pin 14))
- (node (ref C213) (pin 1))
- (node (ref U6) (pin 14))
- (node (ref U10) (pin 10))
- (node (ref C227) (pin 1))
- (node (ref U10) (pin 13))
- (node (ref U207) (pin 8))
- (node (ref U10) (pin 16))
- (node (ref U208) (pin 14))
- (node (ref C12) (pin 1))
- (node (ref U9) (pin 10))
- (node (ref U202) (pin 14))
- (node (ref U9) (pin 13))
- (node (ref U14) (pin 6))
- (node (ref U9) (pin 16))
- (node (ref U209) (pin 8))
- (node (ref R11) (pin 2))
- (node (ref R10) (pin 2))
- (node (ref C205) (pin 1))
- (node (ref R412) (pin 1))
- (node (ref U206) (pin 1))
- (node (ref U205) (pin 10))
- (node (ref U205) (pin 6))
- (node (ref C27) (pin 1))
+ (node (ref C202) (pin 1))
+ (node (ref R201) (pin 1))
+ (node (ref R17) (pin 1))
+ (node (ref JP301) (pin 2))
+ (node (ref C18) (pin 1))
+ (node (ref R202) (pin 1))
(node (ref C411) (pin 1))
(node (ref C413) (pin 1))
- (node (ref R27) (pin 2))
- (node (ref U7) (pin 14))
(node (ref C412) (pin 1))
(node (ref R407) (pin 1))
(node (ref R408) (pin 1))
- (node (ref U8) (pin 14))
- (node (ref U205) (pin 5))
- (node (ref JP401) (pin 3))
(node (ref C22) (pin 1))
- (node (ref U201) (pin 2))
- (node (ref C223) (pin 1))
- (node (ref C18) (pin 1))
- (node (ref R9) (pin 1))
- (node (ref C204) (pin 1))
+ (node (ref C207) (pin 1))
+ (node (ref C221) (pin 2))
(node (ref R406) (pin 1))
+ (node (ref C28) (pin 1))
+ (node (ref U8) (pin 14))
+ (node (ref C205) (pin 1))
+ (node (ref C27) (pin 1))
(node (ref R410) (pin 1))
(node (ref R409) (pin 1))
(node (ref C420) (pin 1))
- (node (ref U403) (pin 17))
- (node (ref U403) (pin 24))
(node (ref C40) (pin 1))
- (node (ref C32) (pin 1))
- (node (ref U403) (pin 27))
- (node (ref U403) (pin 9))
+ (node (ref C204) (pin 1))
(node (ref R411) (pin 1))
+ (node (ref R27) (pin 2))
(node (ref R203) (pin 1))
- (node (ref C28) (pin 1))
- (node (ref R416) (pin 1))
- (node (ref R415) (pin 1))
- (node (ref R414) (pin 1))
- (node (ref C439) (pin 1))
- (node (ref J401) (pin 3))
+ (node (ref C213) (pin 1))
+ (node (ref C212) (pin 1))
+ (node (ref C210) (pin 1))
+ (node (ref C211) (pin 1))
+ (node (ref C209) (pin 1))
+ (node (ref U3) (pin 12))
+ (node (ref U3) (pin 13))
+ (node (ref U3) (pin 14))
+ (node (ref U6) (pin 14))
(node (ref U404) (pin 8))
- (node (ref R209) (pin 1))
- (node (ref C222) (pin 1))
- (node (ref C220) (pin 1))
- (node (ref C15) (pin 1))
- (node (ref C17) (pin 1))
- (node (ref C16) (pin 1))
- (node (ref C224) (pin 1))
- (node (ref J201) (pin 52))
- (node (ref J201) (pin 41))
- (node (ref J201) (pin 39))
- (node (ref J201) (pin 24))
- (node (ref J201) (pin 2))
- (node (ref C221) (pin 1))
- (node (ref U204) (pin 14))
+ (node (ref U7) (pin 14))
+ (node (ref C10) (pin 1))
+ (node (ref C11) (pin 1))
+ (node (ref J204) (pin 4))
(node (ref U203) (pin 14))
- (node (ref R17) (pin 1))
- (node (ref J205) (pin 4))
+ (node (ref U204) (pin 14))
+ (node (ref U14) (pin 5))
+ (node (ref U10) (pin 10))
+ (node (ref U10) (pin 13))
+ (node (ref U10) (pin 16))
+ (node (ref U202) (pin 14))
+ (node (ref J201) (pin 2))
+ (node (ref J201) (pin 24))
+ (node (ref J201) (pin 39))
+ (node (ref J201) (pin 41))
+ (node (ref J201) (pin 52))
(node (ref C30) (pin 1))
+ (node (ref C218) (pin 1))
(node (ref C440) (pin 1))
(node (ref J402) (pin 1))
+ (node (ref C227) (pin 1))
+ (node (ref C29) (pin 1))
+ (node (ref R10) (pin 2))
+ (node (ref U201) (pin 2))
+ (node (ref U205) (pin 6))
+ (node (ref U205) (pin 5))
+ (node (ref U205) (pin 10))
(node (ref U407) (pin 14))
- (node (ref C434) (pin 1)))
- (net (code 55) (name WAKE)
- (node (ref U4) (pin 18))
- (node (ref U201) (pin 25))
- (node (ref C14) (pin 1))
- (node (ref R11) (pin 1))
- (node (ref SW2) (pin 1))
- (node (ref U1) (pin 12)))
- (net (code 56) (name RESET)
- (node (ref U4) (pin 24))
- (node (ref J302) (pin 8))
- (node (ref SW1) (pin 2))
- (node (ref C13) (pin 1))
- (node (ref R10) (pin 1)))
- (net (code 57) (name "Net-(R1-Pad1)")
- (node (ref R1) (pin 1))
- (node (ref U1) (pin 10)))
- (net (code 58) (name "Net-(C1-Pad2)")
+ (node (ref C228) (pin 1))
+ (node (ref U207) (pin 9))
+ (node (ref U207) (pin 8))
+ (node (ref C434) (pin 1))
+ (node (ref U207) (pin 10))
+ (node (ref U207) (pin 1))
+ (node (ref R7) (pin 1))
+ (node (ref C230) (pin 1))
+ (node (ref C45) (pin 1))
+ (node (ref U16) (pin 5))
+ (node (ref X1) (pin 4))
+ (node (ref C229) (pin 1))
+ (node (ref U208) (pin 9))
+ (node (ref R412) (pin 1))
+ (node (ref U5) (pin 8))
+ (node (ref C32) (pin 1))
+ (node (ref JP401) (pin 3))
+ (node (ref R11) (pin 2))
+ (node (ref J207) (pin 16))
+ (node (ref U11) (pin 5))
+ (node (ref U403) (pin 17))
+ (node (ref J206) (pin 1))
+ (node (ref U403) (pin 24))
+ (node (ref U403) (pin 27))
+ (node (ref R208) (pin 1))
+ (node (ref U403) (pin 9))
+ (node (ref U406) (pin 1))
+ (node (ref R9) (pin 1))
+ (node (ref U406) (pin 13))
+ (node (ref C12) (pin 1))
+ (node (ref C224) (pin 1))
+ (node (ref U206) (pin 8))
+ (node (ref U206) (pin 7))
+ (node (ref U206) (pin 6))
+ (node (ref U206) (pin 5))
+ (node (ref U206) (pin 3))
+ (node (ref U406) (pin 22))
+ (node (ref U206) (pin 23))
+ (node (ref U206) (pin 22))
+ (node (ref U406) (pin 31))
+ (node (ref U206) (pin 1))
+ (node (ref U406) (pin 4))
+ (node (ref U406) (pin 40))
+ (node (ref C223) (pin 1))
+ (node (ref U406) (pin 7))
+ (node (ref U4) (pin 47))
+ (node (ref U4) (pin 32))
+ (node (ref U4) (pin 23))
+ (node (ref U4) (pin 19))
+ (node (ref U4) (pin 12))
+ (node (ref U4) (pin 11))
+ (node (ref C31) (pin 1))
+ (node (ref R8) (pin 1))
+ (node (ref C33) (pin 1))
+ (node (ref U9) (pin 16))
+ (node (ref U9) (pin 13))
+ (node (ref U9) (pin 10)))
+ (net (code 6) (name PWM1.2)
+ (node (ref R17) (pin 2))
+ (node (ref U7) (pin 2))
+ (node (ref U7) (pin 9))
+ (node (ref U4) (pin 43)))
+ (net (code 7) (name "Net-(R3-Pad1)")
+ (node (ref R3) (pin 1))
+ (node (ref U1) (pin 4)))
+ (net (code 8) (name "Net-(D1-Pad1)")
+ (node (ref R3) (pin 2))
+ (node (ref D1) (pin 1)))
+ (net (code 9) (name "Net-(J1-Pad4)")
+ (node (ref J1) (pin 4)))
+ (net (code 10) (name "Net-(L3-Pad2)")
+ (node (ref U3) (pin 1))
+ (node (ref L3) (pin 2)))
+ (net (code 11) (name PWM2.1)
+ (node (ref U4) (pin 35))
+ (node (ref U13) (pin 14))
+ (node (ref R20) (pin 2))
+ (node (ref U8) (pin 1))
+ (node (ref U12) (pin 14)))
+ (net (code 12) (name /MIC_WS)
+ (node (ref U11) (pin 1))
+ (node (ref U6) (pin 1))
+ (node (ref R19) (pin 2)))
+ (net (code 13) (name /SPK_L-)
+ (node (ref U12) (pin 10))
+ (node (ref J5) (pin 2)))
+ (net (code 14) (name /SPK_L+)
+ (node (ref J5) (pin 1))
+ (node (ref J4) (pin 4)))
+ (net (code 15) (name "Net-(U1-Pad24)")
+ (node (ref U1) (pin 24)))
+ (net (code 16) (name "Net-(R1-Pad1)")
+ (node (ref U1) (pin 10))
+ (node (ref R1) (pin 1)))
+ (net (code 17) (name "Net-(C1-Pad2)")
(node (ref U1) (pin 21))
(node (ref C1) (pin 2)))
- (net (code 59) (name "Net-(C1-Pad1)")
- (node (ref U1) (pin 20))
+ (net (code 18) (name "Net-(C1-Pad1)")
(node (ref U1) (pin 19))
+ (node (ref U1) (pin 20))
(node (ref C1) (pin 1))
(node (ref L1) (pin 1)))
- (net (code 60) (name "Net-(L3-Pad1)")
+ (net (code 19) (name "Net-(L3-Pad1)")
(node (ref L3) (pin 1))
(node (ref U3) (pin 3)))
- (net (code 61) (name /MIC_SD)
- (node (ref U11) (pin 6))
- (node (ref U9) (pin 14))
- (node (ref U6) (pin 8))
- (node (ref R22) (pin 2)))
- (net (code 62) (name /MIC_CLK)
- (node (ref U11) (pin 4))
- (node (ref U6) (pin 11))
- (node (ref U8) (pin 12))
- (node (ref R18) (pin 2))
- (node (ref U8) (pin 13))
- (node (ref U7) (pin 1)))
- (net (code 63) (name /MIC_WS)
- (node (ref U11) (pin 1))
- (node (ref R19) (pin 2))
- (node (ref U6) (pin 1)))
- (net (code 64) (name /PSD_LFALTCLK)
- (node (ref U4) (pin 20))
- (node (ref X1) (pin 3)))
- (net (code 65) (name "Net-(R2-Pad2)")
+ (net (code 20) (name "Net-(R2-Pad2)")
(node (ref U1) (pin 11))
- (node (ref R2) (pin 2))
- (node (ref R4) (pin 1)))
- (net (code 66) (name "Net-(C6-Pad1)")
- (node (ref C6) (pin 1))
+ (node (ref R4) (pin 1))
+ (node (ref R2) (pin 2)))
+ (net (code 21) (name "Net-(C6-Pad1)")
+ (node (ref U1) (pin 14))
(node (ref J2) (pin 1))
- (node (ref U1) (pin 13))
- (node (ref U1) (pin 14)))
- (net (code 67) (name VBUS)
- (node (ref C4) (pin 1))
- (node (ref J1) (pin 1))
- (node (ref U1) (pin 1)))
- (net (code 68) (name "Net-(R5-Pad2)")
+ (node (ref C6) (pin 1))
+ (node (ref U1) (pin 13)))
+ (net (code 22) (name "Net-(R5-Pad2)")
(node (ref U3) (pin 11))
(node (ref R6) (pin 1))
(node (ref R5) (pin 2)))
- (net (code 69) (name "Net-(J1-Pad3)")
- (node (ref J1) (pin 3))
- (node (ref U1) (pin 2)))
- (net (code 70) (name "Net-(J1-Pad2)")
- (node (ref J1) (pin 2))
- (node (ref U1) (pin 3)))
- (net (code 71) (name GND)
- (node (ref U4) (pin 49))
- (node (ref U4) (pin 21))
- (node (ref U11) (pin 2))
- (node (ref U11) (pin 3))
- (node (ref C221) (pin 2))
- (node (ref J3) (pin 1))
- (node (ref U12) (pin 3))
- (node (ref U12) (pin 11))
- (node (ref U12) (pin 15))
- (node (ref U12) (pin 17))
- (node (ref C220) (pin 2))
+ (net (code 23) (name GND)
+ (node (ref C317) (pin 2))
+ (node (ref Y1) (pin 3))
+ (node (ref C25) (pin 2))
+ (node (ref C427) (pin 2))
+ (node (ref C26) (pin 2))
+ (node (ref C14) (pin 2))
+ (node (ref C318) (pin 2))
+ (node (ref C428) (pin 2))
+ (node (ref R6) (pin 2))
+ (node (ref C16) (pin 2))
+ (node (ref C429) (pin 2))
+ (node (ref C17) (pin 2))
+ (node (ref J301) (pin 55))
+ (node (ref J301) (pin 61))
+ (node (ref C430) (pin 2))
(node (ref U13) (pin 3))
+ (node (ref C431) (pin 2))
+ (node (ref J301) (pin 67))
+ (node (ref J301) (pin 71))
+ (node (ref J301) (pin 87))
(node (ref U13) (pin 11))
+ (node (ref C432) (pin 2))
(node (ref U13) (pin 15))
(node (ref U13) (pin 17))
- (node (ref C222) (pin 2))
- (node (ref U5) (pin 4))
- (node (ref U5) (pin 9))
- (node (ref X1) (pin 2))
- (node (ref U1) (pin 17))
- (node (ref U1) (pin 18))
- (node (ref U1) (pin 25))
- (node (ref U1) (pin 8))
- (node (ref U1) (pin 9))
- (node (ref U2) (pin 2))
- (node (ref U2) (pin 6))
- (node (ref U2) (pin 7))
- (node (ref U3) (pin 2))
- (node (ref U3) (pin 9))
- (node (ref U3) (pin 10))
- (node (ref R208) (pin 1))
- (node (ref U6) (pin 13))
- (node (ref U6) (pin 6))
- (node (ref R207) (pin 1))
- (node (ref U14) (pin 3))
- (node (ref U15) (pin 2))
- (node (ref C204) (pin 2))
- (node (ref C213) (pin 2))
- (node (ref C212) (pin 2))
- (node (ref C210) (pin 2))
- (node (ref C211) (pin 2))
- (node (ref C209) (pin 2))
- (node (ref C40) (pin 2))
- (node (ref C206) (pin 2))
+ (node (ref J4) (pin 1))
+ (node (ref J305) (pin 6))
+ (node (ref J305) (pin 9))
+ (node (ref C433) (pin 2))
+ (node (ref C319) (pin 2))
+ (node (ref C15) (pin 2))
+ (node (ref C20) (pin 2))
+ (node (ref C18) (pin 2))
(node (ref R26) (pin 2))
- (node (ref C205) (pin 2))
(node (ref R25) (pin 2))
- (node (ref C438) (pin 2))
- (node (ref U409) (pin 2))
- (node (ref R29) (pin 2))
- (node (ref R22) (pin 1))
- (node (ref C435) (pin 2))
- (node (ref C434) (pin 2))
- (node (ref U407) (pin 7))
- (node (ref C34) (pin 2))
- (node (ref C35) (pin 2))
- (node (ref U407) (pin 15))
- (node (ref C33) (pin 2))
- (node (ref U209) (pin 20))
- (node (ref U209) (pin 19))
- (node (ref U209) (pin 18))
- (node (ref U209) (pin 17))
- (node (ref U209) (pin 16))
- (node (ref U209) (pin 15))
- (node (ref U209) (pin 14))
- (node (ref R19) (pin 1))
- (node (ref C226) (pin 2))
- (node (ref C9) (pin 2))
- (node (ref J402) (pin 9))
- (node (ref J402) (pin 6))
- (node (ref J402) (pin 3))
- (node (ref J402) (pin 24))
- (node (ref J402) (pin 18))
- (node (ref J402) (pin 15))
- (node (ref J402) (pin 12))
- (node (ref C11) (pin 2))
- (node (ref C10) (pin 2))
- (node (ref C440) (pin 2))
- (node (ref U402) (pin 4))
- (node (ref U408) (pin 7))
- (node (ref U408) (pin 15))
- (node (ref C6) (pin 2))
- (node (ref C3) (pin 2))
- (node (ref C2) (pin 2))
(node (ref C36) (pin 2))
(node (ref C37) (pin 2))
- (node (ref C32) (pin 2))
- (node (ref C31) (pin 2))
- (node (ref C415) (pin 2))
- (node (ref R6) (pin 2))
- (node (ref C437) (pin 2))
- (node (ref C436) (pin 2))
- (node (ref C219) (pin 2))
- (node (ref R4) (pin 2))
- (node (ref C218) (pin 1))
- (node (ref C29) (pin 2))
- (node (ref JP201) (pin 1))
- (node (ref J302) (pin 3))
- (node (ref C7) (pin 2))
- (node (ref C225) (pin 2))
- (node (ref J302) (pin 9))
- (node (ref C41) (pin 2))
- (node (ref C207) (pin 2))
- (node (ref C208) (pin 2))
- (node (ref C403) (pin 2))
- (node (ref C5) (pin 2))
- (node (ref C227) (pin 2))
- (node (ref C214) (pin 2))
- (node (ref C4) (pin 2))
- (node (ref C217) (pin 2))
- (node (ref C229) (pin 2))
- (node (ref C216) (pin 2))
- (node (ref C228) (pin 2))
- (node (ref C215) (pin 2))
- (node (ref C8) (pin 2))
- (node (ref U401) (pin 2))
- (node (ref U406) (pin 43))
- (node (ref C203) (pin 2))
- (node (ref C202) (pin 2))
- (node (ref C201) (pin 2))
- (node (ref R1) (pin 2))
- (node (ref U207) (pin 4))
- (node (ref C13) (pin 2))
- (node (ref U208) (pin 7))
- (node (ref U404) (pin 9))
- (node (ref U404) (pin 4))
- (node (ref U208) (pin 15))
- (node (ref C12) (pin 2))
- (node (ref U208) (pin 13))
- (node (ref U208) (pin 12))
- (node (ref U208) (pin 11))
- (node (ref U202) (pin 7))
- (node (ref U405) (pin 15))
- (node (ref J301) (pin 1))
- (node (ref J301) (pin 105))
- (node (ref J301) (pin 123))
- (node (ref U202) (pin 2))
- (node (ref J301) (pin 141))
- (node (ref U202) (pin 15))
- (node (ref C21) (pin 2))
- (node (ref J301) (pin 159))
- (node (ref J301) (pin 177))
- (node (ref J301) (pin 19))
- (node (ref J301) (pin 37))
- (node (ref U405) (pin 24))
- (node (ref U206) (pin 2))
- (node (ref U205) (pin 8))
+ (node (ref C22) (pin 2))
+ (node (ref U8) (pin 7))
(node (ref C27) (pin 2))
- (node (ref U201) (pin 39))
- (node (ref U201) (pin 38))
+ (node (ref U7) (pin 7))
+ (node (ref U10) (pin 12))
(node (ref U201) (pin 15))
- (node (ref U405) (pin 49))
- (node (ref J401) (pin 8))
- (node (ref C22) (pin 2))
- (node (ref C427) (pin 2))
- (node (ref C428) (pin 2))
- (node (ref C429) (pin 2))
- (node (ref R16) (pin 2))
- (node (ref C430) (pin 2))
- (node (ref J401) (pin 1))
- (node (ref C439) (pin 2))
- (node (ref C431) (pin 2))
- (node (ref C432) (pin 2))
- (node (ref C433) (pin 2))
- (node (ref U201) (pin 1))
- (node (ref R417) (pin 2))
- (node (ref J301) (pin 55))
- (node (ref C223) (pin 2))
- (node (ref J301) (pin 71))
- (node (ref J301) (pin 87))
- (node (ref C28) (pin 2))
- (node (ref R15) (pin 2))
- (node (ref U403) (pin 65))
- (node (ref U403) (pin 48))
- (node (ref U403) (pin 33))
- (node (ref C18) (pin 2))
- (node (ref U403) (pin 23))
- (node (ref C318) (pin 2))
- (node (ref C317) (pin 2))
- (node (ref C316) (pin 2))
- (node (ref C315) (pin 2))
- (node (ref C314) (pin 2))
- (node (ref C313) (pin 2))
- (node (ref C312) (pin 2))
- (node (ref J1) (pin 5))
- (node (ref C420) (pin 2))
+ (node (ref U10) (pin 8))
+ (node (ref U201) (pin 38))
+ (node (ref U201) (pin 39))
+ (node (ref U202) (pin 15))
+ (node (ref U202) (pin 2))
+ (node (ref U202) (pin 7))
+ (node (ref C7) (pin 2))
(node (ref C421) (pin 2))
- (node (ref SW1) (pin 1))
+ (node (ref C34) (pin 2))
+ (node (ref C35) (pin 2))
(node (ref C422) (pin 2))
- (node (ref C56) (pin 2))
- (node (ref SW2) (pin 2))
- (node (ref C55) (pin 2))
+ (node (ref C30) (pin 2))
(node (ref C423) (pin 2))
- (node (ref J2) (pin 2))
+ (node (ref C8) (pin 2))
(node (ref C424) (pin 2))
+ (node (ref C29) (pin 2))
+ (node (ref C32) (pin 2))
(node (ref C425) (pin 2))
- (node (ref U10) (pin 12))
- (node (ref C311) (pin 2))
- (node (ref C310) (pin 2))
- (node (ref C309) (pin 2))
- (node (ref C308) (pin 2))
- (node (ref C307) (pin 2))
- (node (ref C306) (pin 2))
- (node (ref C305) (pin 2))
- (node (ref C304) (pin 2))
- (node (ref C303) (pin 2))
- (node (ref C302) (pin 2))
- (node (ref C416) (pin 2))
- (node (ref C417) (pin 2))
(node (ref C426) (pin 2))
- (node (ref C20) (pin 2))
- (node (ref C301) (pin 2))
- (node (ref U10) (pin 8))
- (node (ref SW201) (pin 2))
- (node (ref C30) (pin 2))
+ (node (ref U12) (pin 3))
+ (node (ref U12) (pin 11))
+ (node (ref U12) (pin 15))
+ (node (ref U12) (pin 17))
+ (node (ref C21) (pin 2))
+ (node (ref U11) (pin 2))
+ (node (ref U11) (pin 3))
+ (node (ref C201) (pin 2))
+ (node (ref C202) (pin 2))
+ (node (ref C12) (pin 2))
+ (node (ref C203) (pin 2))
+ (node (ref C13) (pin 2))
+ (node (ref C225) (pin 2))
+ (node (ref C226) (pin 2))
+ (node (ref C224) (pin 2))
(node (ref R18) (pin 1))
+ (node (ref U9) (pin 12))
(node (ref R20) (pin 1))
- (node (ref J205) (pin 6))
- (node (ref J202) (pin 5))
(node (ref R21) (pin 1))
- (node (ref U203) (pin 15))
- (node (ref U203) (pin 7))
- (node (ref C14) (pin 2))
- (node (ref U204) (pin 15))
- (node (ref U204) (pin 7))
- (node (ref J201) (pin 15))
- (node (ref J201) (pin 18))
- (node (ref U9) (pin 12))
(node (ref U9) (pin 8))
- (node (ref J201) (pin 21))
- (node (ref J201) (pin 26))
- (node (ref J201) (pin 27))
- (node (ref J201) (pin 29))
- (node (ref C408) (pin 2))
- (node (ref J201) (pin 34))
- (node (ref J201) (pin 35))
- (node (ref J201) (pin 37))
- (node (ref J201) (pin 4))
- (node (ref J201) (pin 40))
+ (node (ref R1) (pin 2))
+ (node (ref C301) (pin 2))
+ (node (ref C4) (pin 2))
(node (ref C401) (pin 2))
+ (node (ref C33) (pin 2))
(node (ref C402) (pin 2))
- (node (ref C405) (pin 2))
+ (node (ref C215) (pin 2))
+ (node (ref C31) (pin 2))
+ (node (ref C216) (pin 2))
(node (ref C404) (pin 2))
+ (node (ref C217) (pin 2))
(node (ref R403) (pin 2))
+ (node (ref C214) (pin 2))
(node (ref R404) (pin 2))
(node (ref C406) (pin 2))
- (node (ref C407) (pin 2))
+ (node (ref J1) (pin 5))
(node (ref R405) (pin 2))
- (node (ref C15) (pin 2))
+ (node (ref J1) (pin 6))
+ (node (ref J2) (pin 2))
(node (ref Y401) (pin 3))
(node (ref C409) (pin 2))
+ (node (ref U4) (pin 21))
(node (ref C410) (pin 2))
+ (node (ref C43) (pin 2))
(node (ref C414) (pin 2))
(node (ref C411) (pin 2))
+ (node (ref C44) (pin 2))
(node (ref C413) (pin 2))
- (node (ref U7) (pin 7))
(node (ref C412) (pin 2))
(node (ref C419) (pin 2))
(node (ref C418) (pin 2))
- (node (ref U8) (pin 7))
- (node (ref J201) (pin 43))
- (node (ref J201) (pin 50))
- (node (ref J201) (pin 9))
- (node (ref C26) (pin 2))
- (node (ref J204) (pin 5))
- (node (ref C25) (pin 2))
+ (node (ref C208) (pin 2))
+ (node (ref C207) (pin 2))
+ (node (ref U4) (pin 49))
+ (node (ref C221) (pin 1))
+ (node (ref U406) (pin 43))
+ (node (ref C223) (pin 2))
+ (node (ref C222) (pin 2))
+ (node (ref SW1) (pin 1))
+ (node (ref SW2) (pin 2))
+ (node (ref U206) (pin 14))
+ (node (ref U206) (pin 15))
+ (node (ref U206) (pin 16))
+ (node (ref U206) (pin 17))
+ (node (ref U206) (pin 18))
+ (node (ref U206) (pin 19))
+ (node (ref U206) (pin 20))
(node (ref C19) (pin 2))
- (node (ref C17) (pin 2))
- (node (ref C224) (pin 2))
- (node (ref Y1) (pin 3))
- (node (ref C16) (pin 2)))
- (net (code 72) (name "Net-(C5-Pad1)")
+ (node (ref JP201) (pin 1))
+ (node (ref SW202) (pin 2))
+ (node (ref C220) (pin 2))
+ (node (ref R15) (pin 2))
+ (node (ref C316) (pin 2))
+ (node (ref C315) (pin 2))
+ (node (ref C314) (pin 2))
+ (node (ref C313) (pin 2))
+ (node (ref C312) (pin 2))
+ (node (ref C441) (pin 1))
+ (node (ref U403) (pin 65))
+ (node (ref J205) (pin 3))
+ (node (ref U403) (pin 48))
+ (node (ref J205) (pin 9))
+ (node (ref U403) (pin 33))
+ (node (ref U403) (pin 23))
+ (node (ref J206) (pin 12))
+ (node (ref J206) (pin 3))
+ (node (ref J206) (pin 6))
+ (node (ref J206) (pin 9))
+ (node (ref U405) (pin 49))
+ (node (ref C311) (pin 2))
+ (node (ref C408) (pin 2))
+ (node (ref C28) (pin 2))
+ (node (ref U405) (pin 24))
+ (node (ref C5) (pin 2))
+ (node (ref J207) (pin 10))
+ (node (ref J207) (pin 12))
+ (node (ref J207) (pin 14))
+ (node (ref U405) (pin 15))
+ (node (ref J207) (pin 15))
+ (node (ref J207) (pin 7))
+ (node (ref J207) (pin 9))
+ (node (ref JP402) (pin 3))
+ (node (ref R16) (pin 2))
+ (node (ref R418) (pin 2))
+ (node (ref U409) (pin 2))
+ (node (ref U5) (pin 9))
+ (node (ref U5) (pin 4))
+ (node (ref U208) (pin 4))
+ (node (ref C229) (pin 2))
+ (node (ref X1) (pin 2))
+ (node (ref C310) (pin 2))
+ (node (ref C309) (pin 2))
+ (node (ref C308) (pin 2))
+ (node (ref C307) (pin 2))
+ (node (ref U16) (pin 2))
+ (node (ref C205) (pin 2))
+ (node (ref C45) (pin 2))
+ (node (ref C230) (pin 2))
+ (node (ref C206) (pin 2))
+ (node (ref R4) (pin 2))
+ (node (ref C417) (pin 2))
+ (node (ref C438) (pin 2))
+ (node (ref C41) (pin 2))
+ (node (ref C416) (pin 2))
+ (node (ref C2) (pin 2))
+ (node (ref C302) (pin 2))
+ (node (ref R210) (pin 2))
+ (node (ref J3) (pin 2))
+ (node (ref C3) (pin 2))
+ (node (ref U207) (pin 13))
+ (node (ref U207) (pin 17))
+ (node (ref C435) (pin 2))
+ (node (ref U207) (pin 3))
+ (node (ref C434) (pin 2))
+ (node (ref U207) (pin 4))
+ (node (ref U407) (pin 7))
+ (node (ref C6) (pin 2))
+ (node (ref C228) (pin 2))
+ (node (ref U407) (pin 15))
+ (node (ref J301) (pin 37))
+ (node (ref J301) (pin 19))
+ (node (ref J301) (pin 177))
+ (node (ref J301) (pin 159))
+ (node (ref J301) (pin 141))
+ (node (ref C219) (pin 2))
+ (node (ref U205) (pin 8))
+ (node (ref U201) (pin 1))
+ (node (ref J301) (pin 123))
+ (node (ref J301) (pin 105))
+ (node (ref J301) (pin 1))
+ (node (ref J402) (pin 9))
+ (node (ref J402) (pin 6))
+ (node (ref C227) (pin 2))
+ (node (ref J402) (pin 3))
+ (node (ref J402) (pin 24))
+ (node (ref C306) (pin 2))
+ (node (ref J402) (pin 18))
+ (node (ref J402) (pin 15))
+ (node (ref J402) (pin 12))
+ (node (ref U1) (pin 9))
+ (node (ref C420) (pin 2))
+ (node (ref C40) (pin 2))
+ (node (ref C218) (pin 2))
+ (node (ref C440) (pin 2))
+ (node (ref U1) (pin 25))
+ (node (ref J201) (pin 9))
+ (node (ref C305) (pin 2))
+ (node (ref J201) (pin 50))
+ (node (ref J201) (pin 43))
+ (node (ref U402) (pin 4))
+ (node (ref J201) (pin 40))
+ (node (ref J201) (pin 4))
+ (node (ref J201) (pin 37))
+ (node (ref U408) (pin 7))
+ (node (ref J201) (pin 35))
+ (node (ref J201) (pin 34))
+ (node (ref J201) (pin 29))
+ (node (ref J201) (pin 27))
+ (node (ref J201) (pin 26))
+ (node (ref U408) (pin 15))
+ (node (ref U1) (pin 18))
+ (node (ref J201) (pin 21))
+ (node (ref U1) (pin 17))
+ (node (ref J201) (pin 18))
+ (node (ref J201) (pin 15))
+ (node (ref R29) (pin 2))
+ (node (ref R22) (pin 1))
+ (node (ref C42) (pin 2))
+ (node (ref U204) (pin 7))
+ (node (ref U204) (pin 15))
+ (node (ref U14) (pin 3))
+ (node (ref C415) (pin 2))
+ (node (ref C304) (pin 2))
+ (node (ref U203) (pin 7))
+ (node (ref U203) (pin 15))
+ (node (ref R19) (pin 1))
+ (node (ref C9) (pin 2))
+ (node (ref C303) (pin 2))
+ (node (ref C437) (pin 2))
+ (node (ref J202) (pin 5))
+ (node (ref C436) (pin 2))
+ (node (ref J204) (pin 9))
+ (node (ref J204) (pin 6))
+ (node (ref SW201) (pin 2))
+ (node (ref U15) (pin 2))
+ (node (ref C11) (pin 2))
+ (node (ref C10) (pin 2))
+ (node (ref C403) (pin 2))
+ (node (ref U401) (pin 2))
+ (node (ref U404) (pin 9))
+ (node (ref U6) (pin 6))
+ (node (ref C204) (pin 2))
+ (node (ref U404) (pin 4))
+ (node (ref R207) (pin 1))
+ (node (ref U6) (pin 13))
+ (node (ref U3) (pin 10))
+ (node (ref U3) (pin 9))
+ (node (ref C209) (pin 2))
+ (node (ref J401) (pin 8))
+ (node (ref C211) (pin 2))
+ (node (ref U3) (pin 2))
+ (node (ref C210) (pin 2))
+ (node (ref U2) (pin 7))
+ (node (ref J401) (pin 1))
+ (node (ref C439) (pin 2))
+ (node (ref U2) (pin 6))
+ (node (ref U2) (pin 2))
+ (node (ref C212) (pin 2))
+ (node (ref C213) (pin 2)))
+ (net (code 24) (name "Net-(C5-Pad1)")
(node (ref U1) (pin 22))
- (node (ref C5) (pin 1))
- (node (ref R2) (pin 1)))
- (net (code 73) (name TMUX.I2C0.SCL)
- (node (ref U205) (pin 2))
- (node (ref U201) (pin 10))
- (node (ref U207) (pin 2))
- (node (ref U1) (pin 5))
- (node (ref U6) (pin 3))
+ (node (ref R2) (pin 1))
+ (node (ref C5) (pin 1)))
+ (net (code 25) (name TMUX.I2C0.SCL)
+ (node (ref J206) (pin 16))
(node (ref R13) (pin 2))
- (node (ref U209) (pin 2)))
- (net (code 74) (name TMUX.I2C0.SDA)
- (node (ref U207) (pin 5))
- (node (ref U1) (pin 6))
- (node (ref U209) (pin 4))
- (node (ref R14) (pin 2))
+ (node (ref U206) (pin 2))
+ (node (ref U1) (pin 5))
+ (node (ref J207) (pin 3))
+ (node (ref U205) (pin 2))
+ (node (ref U6) (pin 3)))
+ (net (code 26) (name TMUX.I2C0.SDA)
+ (node (ref U206) (pin 4))
+ (node (ref J206) (pin 14))
+ (node (ref J207) (pin 5))
(node (ref U205) (pin 3))
+ (node (ref U1) (pin 6))
(node (ref U6) (pin 9))
- (node (ref U201) (pin 11)))
- (net (code 75) (name "Net-(U10-Pad14)")
- (node (ref U10) (pin 14))
- (node (ref U9) (pin 9)))
- (net (code 76) (name "Net-(U7-Pad3)")
- (node (ref U7) (pin 4))
- (node (ref U7) (pin 3)))
- (net (code 77) (name "Net-(U10-Pad11)")
- (node (ref U10) (pin 11))
- (node (ref U9) (pin 11))
- (node (ref U7) (pin 6)))
- (net (code 78) (name "Net-(U7-Pad12)")
- (node (ref U7) (pin 12))
- (node (ref U7) (pin 8)))
- (net (code 79) (name PWM2.1)
- (node (ref U8) (pin 1))
- (node (ref U12) (pin 14))
- (node (ref U13) (pin 14))
- (node (ref U4) (pin 35))
- (node (ref R20) (pin 2)))
- (net (code 80) (name "Net-(U8-Pad2)")
- (node (ref U8) (pin 2))
- (node (ref U8) (pin 6)))
- (net (code 81) (name /XTAL_XI)
- (node (ref C25) (pin 1))
- (node (ref U4) (pin 9))
- (node (ref Y1) (pin 1)))
- (net (code 82) (name /XTAL_XO)
- (node (ref Y1) (pin 2))
- (node (ref C26) (pin 1))
- (node (ref U4) (pin 10)))
- (net (code 83) (name /PLL_AVSS)
- (node (ref C23) (pin 2))
- (node (ref U4) (pin 8))
- (node (ref C24) (pin 2)))
- (net (code 84) (name /PLL_AVDD)
- (node (ref U4) (pin 7))
- (node (ref R12) (pin 2))
- (node (ref C24) (pin 1))
- (node (ref C23) (pin 1)))
- (net (code 85) (name /QSPI_CLK)
- (node (ref U5) (pin 6))
- (node (ref U4) (pin 48)))
- (net (code 86) (name /QSPI_DQ_0)
- (node (ref U5) (pin 5))
- (node (ref U4) (pin 4)))
- (net (code 87) (name /QSPI_DQ_1)
- (node (ref U5) (pin 2))
- (node (ref U4) (pin 3)))
- (net (code 88) (name /QSPI_DQ_2)
- (node (ref U4) (pin 2))
- (node (ref U5) (pin 3)))
- (net (code 89) (name /QSPI_CS)
- (node (ref U4) (pin 5))
- (node (ref R8) (pin 2))
- (node (ref U5) (pin 1)))
- (net (code 90) (name /QSPI_DQ_3)
- (node (ref U4) (pin 1))
- (node (ref R9) (pin 2))
- (node (ref U5) (pin 7)))
- (net (code 91) (name "Net-(C55-Pad1)")
- (node (ref C56) (pin 1))
- (node (ref C55) (pin 1))
- (node (ref U1) (pin 23)))
- (net (code 92) (name DISP_CS)
- (node (ref U8) (pin 3))
- (node (ref J402) (pin 22)))
- (net (code 93) (name ESP32.IO39)
- (node (ref U1) (pin 7))
- (node (ref R7) (pin 2))
- (node (ref U201) (pin 5)))
- (net (code 94) (name /SPK_GAIN_SEL)
+ (node (ref R14) (pin 2)))
+ (net (code 27) (name /BQ_PMID)
+ (node (ref J3) (pin 1))
+ (node (ref U1) (pin 23))
+ (node (ref C43) (pin 1))
+ (node (ref C44) (pin 1)))
+ (net (code 28) (name /MIC_SD)
+ (node (ref R22) (pin 2))
+ (node (ref U11) (pin 6))
+ (node (ref U6) (pin 8))
+ (node (ref U9) (pin 14)))
+ (net (code 29) (name PWM0.1)
+ (node (ref U4) (pin 26))
+ (node (ref U6) (pin 10)))
+ (net (code 30) (name PWM1.1)
+ (node (ref U4) (pin 41))
+ (node (ref U6) (pin 2)))
+ (net (code 31) (name /SPK_SD)
+ (node (ref U13) (pin 1))
+ (node (ref U6) (pin 4))
+ (node (ref U10) (pin 9))
+ (node (ref U12) (pin 1)))
+ (net (code 32) (name /SPK_EN)
+ (node (ref U6) (pin 15))
+ (node (ref U7) (pin 8))
+ (node (ref R23) (pin 2))
+ (node (ref U8) (pin 5))
+ (node (ref U8) (pin 4))
+ (node (ref R24) (pin 2)))
+ (net (code 33) (name GPIO_13)
+ (node (ref U6) (pin 5))
+ (node (ref U4) (pin 37)))
+ (net (code 34) (name GPIO_12)
+ (node (ref U6) (pin 7))
+ (node (ref U4) (pin 36)))
+ (net (code 35) (name /SPK_GAIN)
+ (node (ref U13) (pin 2))
+ (node (ref U12) (pin 2))
+ (node (ref U15) (pin 4)))
+ (net (code 36) (name /SPK_GAIN_SEL)
(node (ref U15) (pin 6))
(node (ref U8) (pin 8)))
- (net (code 95) (name "Net-(R24-Pad1)")
- (node (ref U13) (pin 4))
- (node (ref R24) (pin 1)))
- (net (code 96) (name "Net-(R23-Pad1)")
- (node (ref U12) (pin 4))
- (node (ref R23) (pin 1)))
- (net (code 97) (name /SPK_R+)
- (node (ref J3) (pin 7))
- (node (ref LS2) (pin 1)))
- (net (code 98) (name "Net-(C39-Pad1)")
- (node (ref J3) (pin 2))
- (node (ref R26) (pin 1))
- (node (ref C39) (pin 1)))
- (net (code 99) (name "Net-(C38-Pad1)")
- (node (ref R25) (pin 1))
- (node (ref C38) (pin 1))
- (node (ref J3) (pin 3)))
- (net (code 100) (name "Net-(C39-Pad2)")
- (node (ref J3) (pin 6))
- (node (ref C39) (pin 2)))
- (net (code 101) (name "Net-(C38-Pad2)")
- (node (ref J3) (pin 9))
- (node (ref C38) (pin 2)))
- (net (code 102) (name TMUX.GPIO1)
+ (net (code 37) (name /SPK_R-)
+ (node (ref J5) (pin 4))
+ (node (ref U13) (pin 10)))
+ (net (code 38) (name /SPK_R+)
+ (node (ref J5) (pin 3))
+ (node (ref J4) (pin 7)))
+ (net (code 39) (name /HP_DET)
+ (node (ref J4) (pin 10))
+ (node (ref J4) (pin 11))
+ (node (ref U14) (pin 2))
+ (node (ref R27) (pin 1)))
+ (net (code 40) (name TMUX.GPIO1)
+ (node (ref U6) (pin 12))
(node (ref R16) (pin 1))
- (node (ref U6) (pin 12)))
- (net (code 103) (name TMUX.GPIO0)
+ (node (ref J206) (pin 7)))
+ (net (code 41) (name TMUX.GPIO0)
+ (node (ref R15) (pin 1))
(node (ref U6) (pin 16))
- (node (ref R15) (pin 1)))
- (net (code 104) (name BT81X.GPIO2)
+ (node (ref J206) (pin 5)))
+ (net (code 42) (name BT81X.GPIO2)
(node (ref U8) (pin 10))
(node (ref U403) (pin 10)))
- (net (code 105) (name "Net-(R29-Pad1)")
+ (net (code 43) (name "Net-(R29-Pad1)")
(node (ref R29) (pin 1))
(node (ref U15) (pin 3)))
- (net (code 106) (name "Net-(R28-Pad2)")
- (node (ref U15) (pin 1))
- (node (ref R28) (pin 2)))
- (net (code 107) (name /HP_DET)
- (node (ref R27) (pin 1))
- (node (ref U14) (pin 2))
- (node (ref J3) (pin 10))
- (node (ref J3) (pin 11)))
- (net (code 108) (name /SPK_L-)
- (node (ref LS1) (pin 2))
- (node (ref U12) (pin 10)))
- (net (code 109) (name /SPK_R-)
- (node (ref LS2) (pin 2))
- (node (ref U13) (pin 10)))
- (net (code 110) (name "Net-(X1-Pad1)")
- (node (ref X1) (pin 1)))
- (net (code 111) (name "Net-(U14-Pad1)")
- (node (ref U14) (pin 1)))
- (net (code 112) (name "Net-(U14-Pad5)")
- (node (ref U14) (pin 5)))
- (net (code 113) (name /SPK_L_HP+)
- (node (ref U12) (pin 9))
- (node (ref J3) (pin 5)))
- (net (code 114) (name /SPK_R_HP_+)
- (node (ref U13) (pin 9))
- (node (ref J3) (pin 8)))
- (net (code 115) (name /HP_SWITCH)
+ (net (code 44) (name "Net-(R28-Pad2)")
+ (node (ref R28) (pin 2))
+ (node (ref U15) (pin 1)))
+ (net (code 45) (name /HP_SWITCH)
(node (ref U14) (pin 4))
(node (ref U8) (pin 9)))
- (net (code 116) (name iMX8.GPIO3.IO13)
- (node (ref R412) (pin 2))
+ (net (code 46) (name "Net-(U14-Pad1)")
+ (node (ref U14) (pin 1)))
+ (net (code 47) (name /BQ_QON)
+ (node (ref U16) (pin 4))
+ (node (ref U1) (pin 12)))
+ (net (code 48) (name "Net-(U1-Pad2)")
+ (node (ref U1) (pin 2))
+ (node (ref U1) (pin 3)))
+ (net (code 49) (name USB_D+)
+ (node (ref U207) (pin 6))
+ (node (ref J1) (pin 3)))
+ (net (code 50) (name USB_D-)
+ (node (ref U207) (pin 7))
+ (node (ref J1) (pin 2)))
+ (net (code 51) (name WAKE)
+ (node (ref U4) (pin 18))
+ (node (ref SW2) (pin 1))
+ (node (ref U201) (pin 25))
+ (node (ref R11) (pin 1))
+ (node (ref U16) (pin 3))
+ (node (ref C14) (pin 1)))
+ (net (code 52) (name JTAG_TRST_N)
+ (node (ref U16) (pin 1))
+ (node (ref R7) (pin 2))
+ (node (ref J205) (pin 8)))
+ (net (code 53) (name "Net-(X1-Pad1)")
+ (node (ref X1) (pin 1)))
+ (net (code 54) (name /PSD_LFALTCLK)
+ (node (ref X1) (pin 3))
+ (node (ref U4) (pin 20)))
+ (net (code 55) (name /QSPI_CS)
+ (node (ref U5) (pin 1))
+ (node (ref R8) (pin 2))
+ (node (ref U4) (pin 5)))
+ (net (code 56) (name /QSPI_DQ_3)
+ (node (ref U5) (pin 7))
+ (node (ref R9) (pin 2))
+ (node (ref U4) (pin 1)))
+ (net (code 57) (name /QSPI_DQ_2)
+ (node (ref U4) (pin 2))
+ (node (ref U5) (pin 3)))
+ (net (code 58) (name /QSPI_DQ_1)
+ (node (ref U5) (pin 2))
+ (node (ref U4) (pin 3)))
+ (net (code 59) (name /QSPI_DQ_0)
+ (node (ref U5) (pin 5))
+ (node (ref U4) (pin 4)))
+ (net (code 60) (name /QSPI_CLK)
+ (node (ref U5) (pin 6))
+ (node (ref U4) (pin 48)))
+ (net (code 61) (name /PLL_AVDD)
+ (node (ref C24) (pin 1))
+ (node (ref R12) (pin 2))
+ (node (ref C23) (pin 1))
+ (node (ref U4) (pin 7)))
+ (net (code 62) (name /PLL_AVSS)
+ (node (ref U4) (pin 8))
+ (node (ref C24) (pin 2))
+ (node (ref C23) (pin 2)))
+ (net (code 63) (name /XTAL_XO)
+ (node (ref Y1) (pin 2))
+ (node (ref C26) (pin 1))
+ (node (ref U4) (pin 10)))
+ (net (code 64) (name /XTAL_XI)
+ (node (ref Y1) (pin 1))
+ (node (ref C25) (pin 1))
+ (node (ref U4) (pin 9)))
+ (net (code 65) (name /RESET)
+ (node (ref C13) (pin 1))
+ (node (ref U16) (pin 6))
+ (node (ref R10) (pin 1))
+ (node (ref U4) (pin 24))
+ (node (ref SW1) (pin 2)))
+ (net (code 66) (name JTAG_TDI)
+ (node (ref J205) (pin 7))
+ (node (ref U4) (pin 16)))
+ (net (code 67) (name JTAG_TMS)
+ (node (ref U4) (pin 15))
+ (node (ref J205) (pin 6)))
+ (net (code 68) (name JTAG_TDO)
+ (node (ref U4) (pin 14))
+ (node (ref J205) (pin 5)))
+ (net (code 69) (name JTAG_TCK)
+ (node (ref U4) (pin 13))
+ (node (ref J205) (pin 4)))
+ (net (code 70) (name GPIO_0)
+ (node (ref R408) (pin 2))
+ (node (ref U403) (pin 11))
+ (node (ref U4) (pin 25)))
+ (net (code 71) (name SPI1.SS0)
+ (node (ref U4) (pin 27))
+ (node (ref R203) (pin 2))
+ (node (ref U202) (pin 4))
+ (node (ref U202) (pin 1))
+ (node (ref U202) (pin 10))
+ (node (ref U202) (pin 13)))
+ (net (code 72) (name SPI1.MOSI)
+ (node (ref J402) (pin 21))
+ (node (ref U202) (pin 8))
+ (node (ref U403) (pin 5))
+ (node (ref U4) (pin 28))
+ (node (ref J206) (pin 11))
+ (node (ref J207) (pin 6))
+ (node (ref J204) (pin 3)))
+ (net (code 73) (name SPI1.MISO)
+ (node (ref U202) (pin 6))
+ (node (ref U4) (pin 29))
+ (node (ref J207) (pin 4))
+ (node (ref U403) (pin 4))
+ (node (ref J402) (pin 22))
+ (node (ref J206) (pin 13))
+ (node (ref J204) (pin 7)))
+ (net (code 74) (name SPI1.SCK)
+ (node (ref J204) (pin 5))
+ (node (ref U403) (pin 3))
+ (node (ref U4) (pin 31))
+ (node (ref J206) (pin 15))
+ (node (ref J207) (pin 2))
+ (node (ref U202) (pin 11))
+ (node (ref J402) (pin 20)))
+ (net (code 75) (name SPI1.SS2)
+ (node (ref U403) (pin 6))
+ (node (ref R407) (pin 2))
+ (node (ref U4) (pin 33)))
+ (net (code 76) (name SPI1.SS3)
+ (node (ref J204) (pin 2))
+ (node (ref U4) (pin 34))
+ (node (ref R208) (pin 2)))
+ (net (code 77) (name UART0.RXD)
+ (node (ref J205) (pin 11))
+ (node (ref U208) (pin 1))
+ (node (ref J206) (pin 8))
+ (node (ref U4) (pin 38)))
+ (net (code 78) (name UART0.TXD)
+ (node (ref J205) (pin 10))
+ (node (ref U208) (pin 7))
+ (node (ref J206) (pin 10))
+ (node (ref U4) (pin 39)))
+ (net (code 79) (name GPIO_20)
+ (node (ref U201) (pin 36))
+ (node (ref U4) (pin 42)))
+ (net (code 80) (name GPIO_22)
+ (node (ref U4) (pin 44))
+ (node (ref U201) (pin 33)))
+ (net (code 81) (name GPIO_23)
+ (node (ref J207) (pin 1))
+ (node (ref U4) (pin 45)))
+ (net (code 82) (name "Net-(U4-Pad17)")
+ (node (ref U4) (pin 17)))
+ (net (code 83) (name "Net-(U4-Pad22)")
+ (node (ref U4) (pin 22)))
+ (net (code 84) (name "Net-(R24-Pad1)")
+ (node (ref R24) (pin 1))
+ (node (ref U13) (pin 4)))
+ (net (code 85) (name /SPK_CLK)
+ (node (ref U12) (pin 16))
+ (node (ref U8) (pin 11))
+ (node (ref U13) (pin 16)))
+ (net (code 86) (name "Net-(C39-Pad1)")
+ (node (ref J4) (pin 2))
+ (node (ref R26) (pin 1))
+ (node (ref C39) (pin 1)))
+ (net (code 87) (name "Net-(C38-Pad1)")
+ (node (ref J4) (pin 3))
+ (node (ref R25) (pin 1))
+ (node (ref C38) (pin 1)))
+ (net (code 88) (name /SPK_R_HP_+)
+ (node (ref J4) (pin 8))
+ (node (ref U13) (pin 9)))
+ (net (code 89) (name DISP_CS)
+ (node (ref U8) (pin 3))
+ (node (ref J402) (pin 19)))
+ (net (code 90) (name /SPK_L_HP+)
+ (node (ref J4) (pin 5))
+ (node (ref U12) (pin 9)))
+ (net (code 91) (name "Net-(C38-Pad2)")
+ (node (ref J4) (pin 9))
+ (node (ref C38) (pin 2)))
+ (net (code 92) (name "Net-(C39-Pad2)")
+ (node (ref J4) (pin 6))
+ (node (ref C39) (pin 2)))
+ (net (code 93) (name "Net-(U10-Pad1)")
+ (node (ref U10) (pin 1)))
+ (net (code 94) (name "Net-(U10-Pad11)")
+ (node (ref U7) (pin 6))
+ (node (ref U9) (pin 11))
+ (node (ref U10) (pin 11)))
+ (net (code 95) (name "Net-(U10-Pad15)")
+ (node (ref U10) (pin 15)))
+ (net (code 96) (name "Net-(U10-Pad2)")
+ (node (ref U10) (pin 2)))
+ (net (code 97) (name "Net-(U10-Pad3)")
+ (node (ref U10) (pin 3)))
+ (net (code 98) (name "Net-(U10-Pad4)")
+ (node (ref U10) (pin 4)))
+ (net (code 99) (name "Net-(U10-Pad5)")
+ (node (ref U10) (pin 5)))
+ (net (code 100) (name "Net-(U10-Pad6)")
+ (node (ref U10) (pin 6)))
+ (net (code 101) (name "Net-(U10-Pad7)")
+ (node (ref U10) (pin 7)))
+ (net (code 102) (name "Net-(R23-Pad1)")
+ (node (ref U12) (pin 4))
+ (node (ref R23) (pin 1)))
+ (net (code 103) (name "Net-(U8-Pad2)")
+ (node (ref U8) (pin 6))
+ (node (ref U8) (pin 2)))
+ (net (code 104) (name "Net-(U7-Pad10)")
+ (node (ref U7) (pin 10))
+ (node (ref U7) (pin 11)))
+ (net (code 105) (name "Net-(U7-Pad3)")
+ (node (ref U7) (pin 3))
+ (node (ref U7) (pin 4)))
+ (net (code 106) (name "Net-(U10-Pad14)")
+ (node (ref U9) (pin 9))
+ (node (ref U10) (pin 14)))
+ (net (code 107) (name "Net-(U9-Pad1)")
+ (node (ref U9) (pin 1)))
+ (net (code 108) (name "Net-(U9-Pad15)")
+ (node (ref U9) (pin 15)))
+ (net (code 109) (name "Net-(U9-Pad2)")
+ (node (ref U9) (pin 2)))
+ (net (code 110) (name "Net-(U9-Pad3)")
+ (node (ref U9) (pin 3)))
+ (net (code 111) (name "Net-(U9-Pad4)")
+ (node (ref U9) (pin 4)))
+ (net (code 112) (name "Net-(U9-Pad5)")
+ (node (ref U9) (pin 5)))
+ (net (code 113) (name "Net-(U9-Pad6)")
+ (node (ref U9) (pin 6)))
+ (net (code 114) (name "Net-(U9-Pad7)")
+ (node (ref U9) (pin 7)))
+ (net (code 115) (name VBUS)
+ (node (ref J1) (pin 1))
+ (node (ref C4) (pin 1))
+ (node (ref C42) (pin 1))
+ (node (ref R209) (pin 1))
+ (node (ref U1) (pin 1)))
+ (net (code 116) (name /BQ_INT)
+ (node (ref U1) (pin 7))
+ (node (ref TP1) (pin 1)))
+ (net (code 117) (name "Net-(U406-Pad42)")
+ (node (ref U406) (pin 42)))
+ (net (code 118) (name "Net-(U406-Pad41)")
+ (node (ref U406) (pin 41)))
+ (net (code 119) (name iMX8.LVDS0.A3P)
+ (node (ref J301) (pin 57))
+ (node (ref U406) (pin 39)))
+ (net (code 120) (name iMX8.LVDS0.A3N)
+ (node (ref J301) (pin 59))
+ (node (ref U406) (pin 38)))
+ (net (code 121) (name iMX8.LVDS0.CLKP)
+ (node (ref J301) (pin 33))
+ (node (ref U406) (pin 35)))
+ (net (code 122) (name iMX8.LVDS0.CLKN)
+ (node (ref J301) (pin 35))
+ (node (ref U406) (pin 34)))
+ (net (code 123) (name iMX8.LVDS0.A2P)
+ (node (ref J301) (pin 51))
+ (node (ref U406) (pin 30)))
+ (net (code 124) (name iMX8.LVDS0.A2N)
+ (node (ref J301) (pin 53))
+ (node (ref U406) (pin 29)))
+ (net (code 125) (name iMX8.LVDS0.A1P)
+ (node (ref U406) (pin 26))
+ (node (ref J301) (pin 45)))
+ (net (code 126) (name iMX8.LVDS0.A1N)
+ (node (ref U406) (pin 25))
+ (node (ref J301) (pin 47)))
+ (net (code 127) (name "Net-(U406-Pad19)")
+ (node (ref U406) (pin 19)))
+ (net (code 128) (name iMX8.LVDS0.A0N)
+ (node (ref J301) (pin 41))
+ (node (ref U406) (pin 18)))
+ (net (code 129) (name "Net-(U406-Pad17)")
+ (node (ref U406) (pin 17)))
+ (net (code 130) (name iMX8.LVDS0.A0P)
+ (node (ref U406) (pin 16))
+ (node (ref J301) (pin 39)))
+ (net (code 131) (name BT81X.GPIO0)
+ (node (ref J207) (pin 8))
+ (node (ref U403) (pin 7)))
+ (net (code 132) (name "Net-(TP401-Pad1)")
+ (node (ref U403) (pin 26))
+ (node (ref TP401) (pin 1)))
+ (net (code 133) (name BT81X.GPIO3)
+ (node (ref U205) (pin 4))
+ (node (ref U403) (pin 13))
+ (node (ref R207) (pin 2)))
+ (net (code 134) (name BT81X.B4)
+ (node (ref U405) (pin 9))
+ (node (ref U403) (pin 43)))
+ (net (code 135) (name BT81X.B3)
+ (node (ref U405) (pin 8))
+ (node (ref U403) (pin 44)))
+ (net (code 136) (name BT81X.B2)
+ (node (ref U405) (pin 7))
+ (node (ref U403) (pin 45)))
+ (net (code 137) (name BT81X.PCLK)
+ (node (ref U405) (pin 6))
+ (node (ref U403) (pin 39)))
+ (net (code 138) (name BT81X.B1)
+ (node (ref U403) (pin 46))
+ (node (ref U405) (pin 5)))
+ (net (code 139) (name BT81X.G2)
+ (node (ref U405) (pin 47))
+ (node (ref U403) (pin 54)))
+ (net (code 140) (name BT81X.G1)
+ (node (ref U405) (pin 46))
+ (node (ref U403) (pin 55)))
+ (net (code 141) (name BT81X.G0)
+ (node (ref U403) (pin 56))
+ (node (ref U405) (pin 45)))
+ (net (code 142) (name BT81X.R5)
+ (node (ref U403) (pin 60))
+ (node (ref U405) (pin 44)))
+ (net (code 143) (name BT81X.R4)
+ (node (ref U403) (pin 61))
+ (node (ref U405) (pin 43)))
+ (net (code 144) (name BT81X.R3)
+ (node (ref U403) (pin 62))
+ (node (ref U405) (pin 42)))
+ (net (code 145) (name BT81X.R2)
+ (node (ref U403) (pin 63))
+ (node (ref U405) (pin 41)))
+ (net (code 146) (name BT81X.R1)
+ (node (ref U403) (pin 64))
+ (node (ref U405) (pin 40)))
+ (net (code 147) (name BT81X.B0)
+ (node (ref U405) (pin 4))
+ (node (ref U403) (pin 47)))
+ (net (code 148) (name BT81X.R0)
+ (node (ref U405) (pin 38))
+ (node (ref U403) (pin 1)))
+ (net (code 149) (name BT81X.G5)
+ (node (ref U403) (pin 51))
+ (node (ref U405) (pin 3)))
+ (net (code 150) (name "Net-(U405-Pad26)")
+ (node (ref U405) (pin 26)))
+ (net (code 151) (name "Net-(U405-Pad23)")
+ (node (ref U405) (pin 23)))
+ (net (code 152) (name "Net-(U405-Pad22)")
+ (node (ref U405) (pin 22)))
+ (net (code 153) (name BT81X.B7)
+ (node (ref U403) (pin 40))
+ (node (ref U405) (pin 21)))
+ (net (code 154) (name BT81X.B6)
+ (node (ref U405) (pin 20))
+ (node (ref U403) (pin 41)))
+ (net (code 155) (name BT81X.G4)
+ (node (ref U405) (pin 2))
+ (node (ref U403) (pin 52)))
+ (net (code 156) (name BT81X.G7)
+ (node (ref U405) (pin 19))
+ (node (ref U403) (pin 49)))
+ (net (code 157) (name BT81X.G6)
+ (node (ref U403) (pin 50))
+ (node (ref U405) (pin 18)))
+ (net (code 158) (name BT81X.R7)
+ (node (ref U403) (pin 58))
+ (node (ref U405) (pin 17)))
+ (net (code 159) (name BT81X.R6)
+ (node (ref U405) (pin 16))
+ (node (ref U403) (pin 59)))
+ (net (code 160) (name BT81X.DE)
+ (node (ref U405) (pin 14))
+ (node (ref U403) (pin 35)))
+ (net (code 161) (name BT81X.VSYNC)
+ (node (ref U405) (pin 12))
+ (node (ref U403) (pin 36)))
+ (net (code 162) (name BT81X.HSYNC)
+ (node (ref U405) (pin 11))
+ (node (ref U403) (pin 37)))
+ (net (code 163) (name BT81X.B5)
+ (node (ref U405) (pin 10))
+ (node (ref U403) (pin 42)))
+ (net (code 164) (name BT81X.G3)
+ (node (ref U403) (pin 53))
+ (node (ref U405) (pin 1)))
+ (net (code 165) (name "Net-(JP402-Pad2)")
+ (node (ref U405) (pin 39))
+ (node (ref JP402) (pin 2)))
+ (net (code 166) (name BT81X.GPIO1)
+ (node (ref R418) (pin 1))
+ (node (ref U403) (pin 8))
+ (node (ref J402) (pin 23)))
+ (net (code 167) (name iMX8.GPIO3.IO7)
(node (ref U409) (pin 3))
- (node (ref J301) (pin 149)))
- (net (code 117) (name +VCTP)
- (node (ref JP401) (pin 2))
+ (node (ref J301) (pin 76))
+ (node (ref R412) (pin 2)))
+ (net (code 168) (name BT81X.DISP)
+ (node (ref U406) (pin 12))
+ (node (ref U408) (pin 8))
+ (node (ref U403) (pin 38))
+ (node (ref U405) (pin 37))
+ (node (ref U409) (pin 1)))
+ (net (code 169) (name +VCTP)
+ (node (ref U407) (pin 1))
+ (node (ref R414) (pin 1))
(node (ref U408) (pin 14))
- (node (ref R413) (pin 1))
+ (node (ref C439) (pin 1))
+ (node (ref J401) (pin 3))
+ (node (ref R415) (pin 1))
+ (node (ref JP401) (pin 2))
(node (ref C435) (pin 1))
(node (ref C437) (pin 1))
- (node (ref U407) (pin 1)))
- (net (code 118) (name /disp/iMX8_CTP_EN)
+ (node (ref R413) (pin 1)))
+ (net (code 170) (name /disp/iMX8_CTP_EN)
(node (ref U409) (pin 4))
- (node (ref U409) (pin 6))
(node (ref R413) (pin 2))
- (node (ref U407) (pin 8)))
- (net (code 119) (name /disp/CTP_INT)
+ (node (ref U407) (pin 8))
+ (node (ref U409) (pin 6)))
+ (net (code 171) (name /disp/CTP_INT)
(node (ref J401) (pin 6))
(node (ref U408) (pin 11))
- (node (ref R414) (pin 2))
(node (ref U407) (pin 4)))
- (net (code 120) (name /disp/CTP_RST)
+ (net (code 172) (name /disp/CTP_RST)
(node (ref J401) (pin 7))
(node (ref U408) (pin 10))
- (node (ref U407) (pin 5))
- (node (ref R417) (pin 1)))
- (net (code 121) (name /disp/CTP_SDA)
- (node (ref R415) (pin 2))
+ (node (ref U407) (pin 5)))
+ (net (code 173) (name /disp/CTP_SDA)
+ (node (ref R414) (pin 2))
(node (ref J401) (pin 5))
- (node (ref U407) (pin 3))
- (node (ref U408) (pin 12)))
- (net (code 122) (name /disp/CTP_SCL)
- (node (ref R416) (pin 2))
+ (node (ref U408) (pin 12))
+ (node (ref U407) (pin 3)))
+ (net (code 174) (name /disp/CTP_SCL)
(node (ref J401) (pin 4))
- (node (ref U407) (pin 2))
- (node (ref U408) (pin 13)))
- (net (code 123) (name BT81X.DISP)
- (node (ref U409) (pin 1))
- (node (ref U408) (pin 8))
- (node (ref U405) (pin 37))
- (node (ref U406) (pin 12))
- (node (ref U403) (pin 38)))
- (net (code 124) (name iMX8.GPIO3.IO11)
- (node (ref U407) (pin 10))
- (node (ref J301) (pin 153)))
- (net (code 125) (name iMX8.GPIO3.IO12)
+ (node (ref R415) (pin 2))
+ (node (ref U408) (pin 13))
+ (node (ref U407) (pin 2)))
+ (net (code 175) (name iMX8.GPIO5.IO29)
+ (node (ref J301) (pin 3))
+ (node (ref U407) (pin 10)))
+ (net (code 176) (name iMX8.GPIO5.IO28)
(node (ref U407) (pin 11))
- (node (ref J301) (pin 151)))
- (net (code 126) (name iMX8.I2C1.SDA)
- (node (ref J301) (pin 135))
+ (node (ref J301) (pin 5)))
+ (net (code 177) (name iMX8.I2C3.SDA)
+ (node (ref J301) (pin 49))
(node (ref U407) (pin 12)))
- (net (code 127) (name iMX8.I2C1.SCL)
- (node (ref J301) (pin 129))
+ (net (code 178) (name iMX8.I2C3.SCL)
+ (node (ref J301) (pin 43))
(node (ref U407) (pin 13)))
- (net (code 128) (name "Net-(U407-Pad9)")
+ (net (code 179) (name "Net-(U407-Pad9)")
(node (ref U407) (pin 9)))
- (net (code 129) (name "Net-(U407-Pad6)")
+ (net (code 180) (name "Net-(U407-Pad6)")
(node (ref U407) (pin 6)))
- (net (code 130) (name /disp/DISP_LVDS_CLK_P)
+ (net (code 181) (name /disp/DISP_LVDS_CLKP)
(node (ref U406) (pin 5))
(node (ref J402) (pin 14)))
- (net (code 131) (name /disp/DISP_LVDS_CLK_N)
- (node (ref J402) (pin 13))
- (node (ref U406) (pin 6)))
- (net (code 132) (name /disp/DISP_LVDS_A3P)
+ (net (code 182) (name /disp/DISP_LVDS_CLKN)
+ (node (ref U406) (pin 6))
+ (node (ref J402) (pin 13)))
+ (net (code 183) (name /disp/DISP_LVDS_A3P)
(node (ref U406) (pin 2))
(node (ref J402) (pin 17)))
- (net (code 133) (name /disp/DISP_LVDS_A3N)
- (node (ref U406) (pin 3))
- (node (ref J402) (pin 16)))
- (net (code 134) (name /disp/DISP_LVDS_A2P)
+ (net (code 184) (name /disp/DISP_LVDS_A3N)
+ (node (ref J402) (pin 16))
+ (node (ref U406) (pin 3)))
+ (net (code 185) (name /disp/DISP_LVDS_A2P)
(node (ref J402) (pin 11))
(node (ref U406) (pin 8)))
- (net (code 135) (name /disp/DISP_LVDS_A2N)
- (node (ref J402) (pin 10))
- (node (ref U406) (pin 9)))
- (net (code 136) (name /disp/DISP_LVDS_A1P)
+ (net (code 186) (name /disp/DISP_LVDS_A2N)
+ (node (ref U406) (pin 9))
+ (node (ref J402) (pin 10)))
+ (net (code 187) (name /disp/DISP_LVDS_A1P)
(node (ref U406) (pin 10))
(node (ref J402) (pin 8)))
- (net (code 137) (name /disp/DISP_LVDS_A1N)
- (node (ref U406) (pin 11))
- (node (ref J402) (pin 7)))
- (net (code 138) (name /disp/DISP_LVDS_A0P)
+ (net (code 188) (name /disp/DISP_LVDS_A1N)
+ (node (ref J402) (pin 7))
+ (node (ref U406) (pin 11)))
+ (net (code 189) (name /disp/DISP_LVDS_A0P)
(node (ref U406) (pin 14))
(node (ref J402) (pin 5)))
- (net (code 139) (name /disp/DISP_LVDS_A0N)
+ (net (code 190) (name /disp/DISP_LVDS_A0N)
(node (ref J402) (pin 4))
(node (ref U406) (pin 15)))
- (net (code 140) (name DISP_LED_A)
- (node (ref D402) (pin 1))
+ (net (code 191) (name DISP_LED_A)
(node (ref J402) (pin 26))
- (node (ref C402) (pin 1))
+ (node (ref D402) (pin 1))
(node (ref D401) (pin 1))
- (node (ref C401) (pin 1)))
- (net (code 141) (name DISP_LED_K)
- (node (ref D402) (pin 2))
+ (node (ref C401) (pin 1))
+ (node (ref C402) (pin 1)))
+ (net (code 192) (name DISP_LED_K)
(node (ref R405) (pin 1))
- (node (ref C407) (pin 1))
+ (node (ref U401) (pin 3))
(node (ref C406) (pin 1))
(node (ref R404) (pin 1))
+ (node (ref R403) (pin 1))
(node (ref J402) (pin 25))
- (node (ref U401) (pin 3))
- (node (ref R403) (pin 1)))
- (net (code 142) (name BT81X.GPIO1)
- (node (ref U403) (pin 8))
- (node (ref J402) (pin 23)))
- (net (code 143) (name /disp/DS90_LVDS_CLK_P)
+ (node (ref D402) (pin 2)))
+ (net (code 193) (name /disp/DS90_LVDS_CLKP)
(node (ref U406) (pin 33))
(node (ref U405) (pin 29)))
- (net (code 144) (name /disp/DS90_LVDS_CLK_N)
+ (net (code 194) (name /disp/DS90_LVDS_CLKN)
(node (ref U406) (pin 32))
(node (ref U405) (pin 30)))
- (net (code 145) (name /disp/DS90_LVDS_A3_P)
- (node (ref U406) (pin 37))
- (node (ref U405) (pin 27)))
- (net (code 146) (name /disp/DS90_LVDS_A3_N)
- (node (ref U405) (pin 28))
- (node (ref U406) (pin 36)))
- (net (code 147) (name /disp/DS90_LVDS_A2_P)
- (node (ref U405) (pin 31))
- (node (ref U406) (pin 28)))
- (net (code 148) (name /disp/DS90_LVDS_A2_N)
- (node (ref U405) (pin 32))
- (node (ref U406) (pin 27)))
- (net (code 149) (name /disp/DS90_LVDS_A1_P)
+ (net (code 195) (name /disp/DS90_LVDS_A3P)
+ (node (ref U405) (pin 27))
+ (node (ref U406) (pin 37)))
+ (net (code 196) (name /disp/DS90_LVDS_A3N)
+ (node (ref U406) (pin 36))
+ (node (ref U405) (pin 28)))
+ (net (code 197) (name /disp/DS90_LVDS_A2P)
+ (node (ref U406) (pin 28))
+ (node (ref U405) (pin 31)))
+ (net (code 198) (name /disp/DS90_LVDS_A2N)
+ (node (ref U406) (pin 27))
+ (node (ref U405) (pin 32)))
+ (net (code 199) (name /disp/DS90_LVDS_A1P)
(node (ref U405) (pin 33))
(node (ref U406) (pin 24)))
- (net (code 150) (name /disp/DS90_LVDS_A1_N)
- (node (ref U405) (pin 34))
- (node (ref U406) (pin 23)))
- (net (code 151) (name /disp/DS90_LVDS_A0_P)
+ (net (code 200) (name /disp/DS90_LVDS_A1N)
+ (node (ref U406) (pin 23))
+ (node (ref U405) (pin 34)))
+ (net (code 201) (name /disp/DS90_LVDS_A0P)
(node (ref U406) (pin 20))
(node (ref U405) (pin 35)))
- (net (code 152) (name /disp/DS90_LVDS_A0_N)
+ (net (code 202) (name /disp/DS90_LVDS_A0N)
(node (ref U406) (pin 21))
(node (ref U405) (pin 36)))
- (net (code 153) (name "Net-(R401-Pad2)")
- (node (ref R401) (pin 2))
- (node (ref U402) (pin 7))
+ (net (code 203) (name "Net-(R401-Pad2)")
+ (node (ref U402) (pin 5))
(node (ref U402) (pin 6))
- (node (ref U402) (pin 5)))
- (net (code 154) (name BT81X.BL_PWM)
- (node (ref U402) (pin 3))
- (node (ref U403) (pin 34)))
- (net (code 155) (name iMX8.PWM1)
- (node (ref J301) (pin 75))
+ (node (ref U402) (pin 7))
+ (node (ref R401) (pin 2)))
+ (net (code 204) (name BT81X.BL_PWM)
+ (node (ref U403) (pin 34))
+ (node (ref U402) (pin 3)))
+ (net (code 205) (name iMX8.PWM1)
+ (node (ref J301) (pin 200))
(node (ref U402) (pin 1)))
- (net (code 156) (name "Net-(U408-Pad9)")
+ (net (code 206) (name "Net-(U408-Pad9)")
(node (ref U408) (pin 9)))
- (net (code 157) (name "Net-(U408-Pad6)")
+ (net (code 207) (name "Net-(U408-Pad6)")
(node (ref U408) (pin 6)))
- (net (code 158) (name BT81X.CTP.RST)
- (node (ref U408) (pin 5))
- (node (ref U403) (pin 29)))
- (net (code 159) (name BT81X.CTP.INT)
+ (net (code 208) (name BT81X.CTP.RST)
+ (node (ref U403) (pin 29))
+ (node (ref U408) (pin 5)))
+ (net (code 209) (name BT81X.CTP.INT)
(node (ref U403) (pin 30))
(node (ref U408) (pin 4)))
- (net (code 160) (name BT81X.CTP.SDA)
- (node (ref U408) (pin 3))
- (node (ref U403) (pin 32)))
- (net (code 161) (name BT81X.CTP.SCL)
+ (net (code 210) (name BT81X.CTP.SDA)
+ (node (ref U403) (pin 32))
+ (node (ref U408) (pin 3)))
+ (net (code 211) (name BT81X.CTP.SCL)
(node (ref U403) (pin 31))
(node (ref U408) (pin 2)))
- (net (code 162) (name "Net-(R402-Pad2)")
- (node (ref U401) (pin 4))
+ (net (code 212) (name "Net-(R402-Pad2)")
(node (ref U402) (pin 2))
- (node (ref R402) (pin 2)))
- (net (code 163) (name "Net-(D401-Pad2)")
+ (node (ref R402) (pin 2))
+ (node (ref U401) (pin 4)))
+ (net (code 213) (name "Net-(D401-Pad2)")
(node (ref D401) (pin 2))
(node (ref U401) (pin 1))
(node (ref L401) (pin 2)))
- (net (code 164) (name "Net-(U406-Pad42)")
- (node (ref U406) (pin 42)))
- (net (code 165) (name "Net-(U406-Pad41)")
- (node (ref U406) (pin 41)))
- (net (code 166) (name iMX8.LVDS0.A3_P)
- (node (ref J301) (pin 57))
- (node (ref U406) (pin 39)))
- (net (code 167) (name iMX8.LVDS0.A3_N)
- (node (ref J301) (pin 59))
- (node (ref U406) (pin 38)))
- (net (code 168) (name iMX8.LVDS0.CLK_P)
- (node (ref U406) (pin 35))
- (node (ref J301) (pin 33)))
- (net (code 169) (name iMX8.LVDS0.CLK_N)
- (node (ref U406) (pin 34))
- (node (ref J301) (pin 35)))
- (net (code 170) (name iMX8.LVDS0.A2_P)
- (node (ref U406) (pin 30))
- (node (ref J301) (pin 51)))
- (net (code 171) (name iMX8.LVDS0.A2_N)
- (node (ref U406) (pin 29))
- (node (ref J301) (pin 53)))
- (net (code 172) (name iMX8.LVDS0.A1_P)
- (node (ref J301) (pin 45))
- (node (ref U406) (pin 26)))
- (net (code 173) (name iMX8.LVDS0.A1_N)
- (node (ref U406) (pin 25))
- (node (ref J301) (pin 47)))
- (net (code 174) (name "Net-(U406-Pad19)")
- (node (ref U406) (pin 19)))
- (net (code 175) (name iMX8.LVDS0.A0_N)
- (node (ref U406) (pin 18))
- (node (ref J301) (pin 41)))
- (net (code 176) (name "Net-(U406-Pad17)")
- (node (ref U406) (pin 17)))
- (net (code 177) (name iMX8.LVDS0.A0_P)
- (node (ref U406) (pin 16))
- (node (ref J301) (pin 39)))
- (net (code 178) (name /disp/BT81X_QSPI_DQ_3)
- (node (ref U403) (pin 20))
+ (net (code 214) (name /disp/BT81X_QSPI_DQ_3)
(node (ref U404) (pin 7))
+ (node (ref U403) (pin 20))
(node (ref R410) (pin 2)))
- (net (code 179) (name /disp/BT81X_QSPI_CS)
- (node (ref U404) (pin 1))
- (node (ref R409) (pin 2))
- (node (ref U403) (pin 15)))
- (net (code 180) (name BT81X.PCLK)
- (node (ref U405) (pin 6))
- (node (ref U403) (pin 39)))
- (net (code 181) (name BT81X.DE)
- (node (ref U405) (pin 14))
- (node (ref U403) (pin 35)))
- (net (code 182) (name BT81X.VSYNC)
- (node (ref U403) (pin 36))
- (node (ref U405) (pin 12)))
- (net (code 183) (name BT81X.HSYNC)
- (node (ref U403) (pin 37))
- (node (ref U405) (pin 11)))
- (net (code 184) (name BT81X.B7)
- (node (ref U405) (pin 21))
- (node (ref U403) (pin 40)))
- (net (code 185) (name BT81X.B6)
- (node (ref U405) (pin 20))
- (node (ref U403) (pin 41)))
- (net (code 186) (name BT81X.B5)
- (node (ref U405) (pin 10))
- (node (ref U403) (pin 42)))
- (net (code 187) (name BT81X.B4)
- (node (ref U405) (pin 9))
- (node (ref U403) (pin 43)))
- (net (code 188) (name BT81X.B3)
- (node (ref U405) (pin 8))
- (node (ref U403) (pin 44)))
- (net (code 189) (name BT81X.B2)
- (node (ref U403) (pin 45))
- (node (ref U405) (pin 7)))
- (net (code 190) (name BT81X.B1)
- (node (ref U405) (pin 5))
- (node (ref U403) (pin 46)))
- (net (code 191) (name BT81X.B0)
- (node (ref U403) (pin 47))
- (node (ref U405) (pin 4)))
- (net (code 192) (name BT81X.G7)
- (node (ref U405) (pin 19))
- (node (ref U403) (pin 49)))
- (net (code 193) (name BT81X.G6)
- (node (ref U403) (pin 50))
- (node (ref U405) (pin 18)))
- (net (code 194) (name BT81X.G5)
- (node (ref U403) (pin 51))
- (node (ref U405) (pin 3)))
- (net (code 195) (name BT81X.G4)
- (node (ref U403) (pin 52))
- (node (ref U405) (pin 2)))
- (net (code 196) (name BT81X.G3)
- (node (ref U405) (pin 1))
- (node (ref U403) (pin 53)))
- (net (code 197) (name BT81X.G2)
- (node (ref U405) (pin 47))
- (node (ref U403) (pin 54)))
- (net (code 198) (name BT81X.G1)
- (node (ref U405) (pin 46))
- (node (ref U403) (pin 55)))
- (net (code 199) (name BT81X.G0)
- (node (ref U403) (pin 56))
- (node (ref U405) (pin 45)))
- (net (code 200) (name BT81X.R7)
- (node (ref U405) (pin 17))
- (node (ref U403) (pin 58)))
- (net (code 201) (name BT81X.R6)
- (node (ref U405) (pin 16))
- (node (ref U403) (pin 59)))
- (net (code 202) (name BT81X.R5)
- (node (ref U403) (pin 60))
- (node (ref U405) (pin 44)))
- (net (code 203) (name BT81X.R4)
- (node (ref U405) (pin 43))
- (node (ref U403) (pin 61)))
- (net (code 204) (name BT81X.R3)
- (node (ref U405) (pin 42))
- (node (ref U403) (pin 62)))
- (net (code 205) (name BT81X.R2)
- (node (ref U405) (pin 41))
- (node (ref U403) (pin 63)))
- (net (code 206) (name BT81X.R1)
- (node (ref U403) (pin 64))
- (node (ref U405) (pin 40)))
- (net (code 207) (name BT81X.R0)
- (node (ref U403) (pin 1))
- (node (ref U405) (pin 38)))
- (net (code 208) (name "Net-(U405-Pad22)")
- (node (ref U405) (pin 22)))
- (net (code 209) (name "Net-(J401-Pad2)")
- (node (ref J401) (pin 2)))
- (net (code 210) (name BT81X.GPIO0)
- (node (ref R208) (pin 2))
- (node (ref U208) (pin 1))
- (node (ref U403) (pin 7))
- (node (ref U207) (pin 3))
- (node (ref U208) (pin 10))
- (node (ref U207) (pin 7))
- (node (ref U206) (pin 3))
- (node (ref U208) (pin 4)))
- (net (code 211) (name "Net-(U403-Pad26)")
- (node (ref U403) (pin 26)))
- (net (code 212) (name /disp/BT81X_QSPI_DQ_2)
+ (net (code 215) (name /disp/BT81X_QSPI_CLK)
+ (node (ref U403) (pin 14))
+ (node (ref U404) (pin 6)))
+ (net (code 216) (name /disp/BT81X_QSPI_DQ_0)
+ (node (ref U404) (pin 5))
+ (node (ref U403) (pin 16)))
+ (net (code 217) (name /disp/BT81X_QSPI_DQ_2)
(node (ref U403) (pin 19))
- (node (ref U404) (pin 3))
- (node (ref R411) (pin 2)))
- (net (code 213) (name /disp/BT81X_QSPI_DQ_1)
+ (node (ref R411) (pin 2))
+ (node (ref U404) (pin 3)))
+ (net (code 218) (name /disp/BT81X_QSPI_DQ_1)
(node (ref U404) (pin 2))
(node (ref U403) (pin 18)))
- (net (code 214) (name /disp/BT81X_QSPI_DQ_0)
- (node (ref U404) (pin 5))
- (node (ref U403) (pin 16)))
- (net (code 215) (name /disp/BT81X_QSPI_CLK)
- (node (ref U404) (pin 6))
- (node (ref U403) (pin 14)))
- (net (code 216) (name BT81X.GPIO3)
- (node (ref R207) (pin 2))
- (node (ref U403) (pin 13))
- (node (ref U205) (pin 4)))
- (net (code 217) (name "Net-(C408-Pad1)")
+ (net (code 219) (name /disp/BT81X_QSPI_CS)
+ (node (ref U403) (pin 15))
+ (node (ref U404) (pin 1))
+ (node (ref R409) (pin 2)))
+ (net (code 220) (name "Net-(J401-Pad2)")
+ (node (ref J401) (pin 2)))
+ (net (code 221) (name "Net-(C408-Pad1)")
(node (ref U403) (pin 12))
- (node (ref R406) (pin 2))
- (node (ref C408) (pin 1)))
- (net (code 218) (name /disp/BT81X_X2)
+ (node (ref C408) (pin 1))
+ (node (ref R406) (pin 2)))
+ (net (code 222) (name /disp/BT81X_X2)
+ (node (ref Y401) (pin 2))
(node (ref U403) (pin 22))
- (node (ref C410) (pin 1))
- (node (ref Y401) (pin 2)))
- (net (code 219) (name /disp/BT81X_X1)
+ (node (ref C410) (pin 1)))
+ (net (code 223) (name /disp/BT81X_X1)
(node (ref U403) (pin 21))
(node (ref C409) (pin 1))
(node (ref Y401) (pin 1)))
- (net (code 220) (name /disp/BT81X_1V2)
- (node (ref U403) (pin 2))
- (node (ref C416) (pin 1))
- (node (ref C417) (pin 1))
+ (net (code 224) (name /disp/BT81X_1V2)
(node (ref C415) (pin 1))
- (node (ref U403) (pin 25))
(node (ref C419) (pin 1))
(node (ref C418) (pin 1))
- (node (ref U403) (pin 57)))
- (net (code 221) (name "Net-(U405-Pad39)")
- (node (ref U405) (pin 39)))
- (net (code 222) (name "Net-(U405-Pad26)")
- (node (ref U405) (pin 26)))
- (net (code 223) (name "Net-(U405-Pad23)")
- (node (ref U405) (pin 23)))
- (net (code 224) (name "Net-(J201-Pad3)")
- (node (ref J201) (pin 3)))
+ (node (ref U403) (pin 57))
+ (node (ref U403) (pin 25))
+ (node (ref U403) (pin 2))
+ (node (ref C416) (pin 1))
+ (node (ref C417) (pin 1)))
(net (code 225) (name "Net-(U201-Pad19)")
(node (ref U201) (pin 19)))
(net (code 226) (name "Net-(U201-Pad20)")
@@ -4147,12 +4328,12 @@
(node (ref U201) (pin 21)))
(net (code 228) (name "Net-(U201-Pad22)")
(node (ref U201) (pin 22)))
- (net (code 229) (name "Net-(J205-Pad8)")
- (node (ref J205) (pin 8)))
- (net (code 230) (name "Net-(J205-Pad9)")
- (node (ref J205) (pin 9)))
- (net (code 231) (name "Net-(J201-Pad1)")
+ (net (code 229) (name "Net-(J204-Pad8)")
+ (node (ref J204) (pin 8)))
+ (net (code 230) (name "Net-(J201-Pad1)")
(node (ref J201) (pin 1)))
+ (net (code 231) (name "Net-(J201-Pad3)")
+ (node (ref J201) (pin 3)))
(net (code 232) (name "Net-(J201-Pad5)")
(node (ref J201) (pin 5)))
(net (code 233) (name "Net-(J201-Pad7)")
@@ -4173,592 +4354,608 @@
(node (ref J201) (pin 30)))
(net (code 241) (name "Net-(J201-Pad28)")
(node (ref J201) (pin 28)))
- (net (code 242) (name "Net-(J201-Pad22)")
- (node (ref J201) (pin 22)))
- (net (code 243) (name "Net-(J201-Pad20)")
+ (net (code 242) (name "Net-(J201-Pad20)")
(node (ref J201) (pin 20)))
- (net (code 244) (name "Net-(J201-Pad16)")
+ (net (code 243) (name "Net-(J201-Pad16)")
(node (ref J201) (pin 16)))
- (net (code 245) (name "Net-(J201-Pad6)")
+ (net (code 244) (name "Net-(J201-Pad6)")
(node (ref J201) (pin 6)))
- (net (code 246) (name "Net-(J202-Pad6)")
+ (net (code 245) (name "Net-(J202-Pad6)")
(node (ref J202) (pin 6)))
- (net (code 247) (name "Net-(J201-Pad10)")
- (node (ref R204) (pin 2))
- (node (ref J201) (pin 10)))
- (net (code 248) (name "Net-(C216-Pad1)")
- (node (ref J202) (pin 3))
+ (net (code 246) (name "Net-(J201-Pad10)")
+ (node (ref J201) (pin 10))
+ (node (ref R204) (pin 2)))
+ (net (code 247) (name "Net-(C216-Pad1)")
(node (ref R205) (pin 1))
+ (node (ref J202) (pin 3))
(node (ref C216) (pin 1)))
- (net (code 249) (name "Net-(J201-Pad12)")
+ (net (code 248) (name "Net-(J201-Pad12)")
(node (ref J201) (pin 12))
(node (ref R205) (pin 2)))
- (net (code 250) (name "Net-(C214-Pad1)")
- (node (ref C214) (pin 1))
- (node (ref J201) (pin 8))
- (node (ref J202) (pin 1)))
- (net (code 251) (name "Net-(C215-Pad1)")
- (node (ref C215) (pin 1))
+ (net (code 249) (name "Net-(C215-Pad1)")
(node (ref R206) (pin 1))
+ (node (ref C215) (pin 1))
(node (ref J202) (pin 2)))
- (net (code 252) (name "Net-(C217-Pad1)")
+ (net (code 250) (name "Net-(C217-Pad1)")
(node (ref R204) (pin 1))
- (node (ref C217) (pin 1))
- (node (ref J202) (pin 7)))
- (net (code 253) (name "Net-(J201-Pad14)")
+ (node (ref J202) (pin 7))
+ (node (ref C217) (pin 1)))
+ (net (code 251) (name "Net-(J201-Pad14)")
(node (ref J201) (pin 14))
(node (ref R206) (pin 2)))
- (net (code 254) (name "Net-(C219-Pad1)")
- (node (ref C219) (pin 1))
- (node (ref U205) (pin 1)))
- (net (code 255) (name "Net-(J203-Pad1)")
+ (net (code 252) (name "Net-(C222-Pad1)")
+ (node (ref U205) (pin 1))
+ (node (ref C222) (pin 1)))
+ (net (code 253) (name "Net-(J203-Pad1)")
(node (ref U205) (pin 7))
(node (ref J203) (pin 1)))
- (net (code 256) (name "Net-(J203-Pad2)")
+ (net (code 254) (name "Net-(J203-Pad2)")
(node (ref U205) (pin 9))
(node (ref J203) (pin 2)))
- (net (code 257) (name /periph/ESP32.U2.RXD)
- (node (ref JP204) (pin 1))
+ (net (code 255) (name /periph/ESP32.U2.RXD)
(node (ref U201) (pin 28))
+ (node (ref JP205) (pin 1))
(node (ref U204) (pin 11)))
- (net (code 258) (name /periph/ESP32.U2.TXD)
- (node (ref U204) (pin 10))
+ (net (code 256) (name /periph/ESP32.U2.TXD)
(node (ref U201) (pin 27))
- (node (ref JP205) (pin 1)))
- (net (code 259) (name /periph/Quectel.UART.RXD)
+ (node (ref U204) (pin 10))
+ (node (ref JP204) (pin 1)))
+ (net (code 257) (name /periph/Quectel.UART.RXD)
(node (ref J201) (pin 11))
+ (node (ref JP204) (pin 2)))
+ (net (code 258) (name /periph/Quectel.UART.TXD)
+ (node (ref J201) (pin 13))
(node (ref JP205) (pin 2)))
- (net (code 260) (name /periph/Quectel.UART.TXD)
- (node (ref JP204) (pin 2))
- (node (ref J201) (pin 13)))
- (net (code 261) (name /periph/Quectel.UART.RI)
- (node (ref JP203) (pin 2))
+ (net (code 259) (name /periph/Quectel.UART.RI)
(node (ref J201) (pin 17))
+ (node (ref JP203) (pin 2))
(node (ref U204) (pin 5)))
- (net (code 262) (name /periph/Quectel.UART.DTR)
- (node (ref J201) (pin 31))
- (node (ref JP202) (pin 2)))
- (net (code 263) (name /periph/SIMCom.UART.TXD)
+ (net (code 260) (name /periph/Quectel.UART.DTR)
+ (node (ref JP202) (pin 2))
+ (node (ref J201) (pin 31)))
+ (net (code 261) (name /periph/SIMCom.UART.TXD)
(node (ref U204) (pin 4))
(node (ref J201) (pin 19)))
- (net (code 264) (name /periph/SIMCom.UART.RI)
- (node (ref J201) (pin 44))
- (node (ref U204) (pin 2)))
- (net (code 265) (name /periph/SIMCom.UART.DTR)
+ (net (code 262) (name /periph/SIMCom.UART.RI)
+ (node (ref U204) (pin 2))
+ (node (ref J201) (pin 44)))
+ (net (code 263) (name /periph/SIMCom.UART.DTR)
(node (ref J201) (pin 46))
(node (ref U204) (pin 3)))
- (net (code 266) (name /periph/ESP32.U2.DTR)
+ (net (code 264) (name /periph/ESP32.U2.DTR)
(node (ref JP202) (pin 1))
(node (ref U201) (pin 8))
(node (ref U204) (pin 12)))
- (net (code 267) (name /periph/ESP32.U2.RI)
- (node (ref U204) (pin 13))
+ (net (code 265) (name /periph/ESP32.U2.RI)
(node (ref JP203) (pin 1))
- (node (ref U201) (pin 7)))
- (net (code 268) (name "Net-(JP201-Pad2)")
- (node (ref JP201) (pin 2))
- (node (ref U204) (pin 8)))
- (net (code 269) (name "Net-(C203-Pad1)")
- (node (ref SW201) (pin 1))
- (node (ref U201) (pin 3))
+ (node (ref U201) (pin 7))
+ (node (ref U204) (pin 13)))
+ (net (code 266) (name /periph/ESP32.EN)
(node (ref R201) (pin 2))
- (node (ref C203) (pin 1)))
- (net (code 270) (name /periph/ESP32.PCM.SYNC)
- (node (ref U203) (pin 10))
- (node (ref U201) (pin 26)))
- (net (code 271) (name /periph/ESP32.PCM.DO)
+ (node (ref C203) (pin 1))
+ (node (ref U201) (pin 3))
+ (node (ref SW201) (pin 1))
+ (node (ref C219) (pin 1)))
+ (net (code 267) (name /periph/ESP32.PCM.DO)
(node (ref U201) (pin 24))
(node (ref U203) (pin 11)))
- (net (code 272) (name /periph/ESP32.PCM.CLK)
- (node (ref U201) (pin 9))
- (node (ref U203) (pin 13)))
- (net (code 273) (name /periph/ESP32.PCM.DI)
- (node (ref U201) (pin 6))
- (node (ref U203) (pin 12)))
- (net (code 274) (name /periph/Modem.PCM.CLK)
+ (net (code 268) (name /periph/ESP32.PCM.CLK)
+ (node (ref U203) (pin 13))
+ (node (ref U201) (pin 9)))
+ (net (code 269) (name /periph/ESP32.PCM.DI)
+ (node (ref U203) (pin 12))
+ (node (ref U201) (pin 6)))
+ (net (code 270) (name /periph/Modem.PCM.CLK)
(node (ref J201) (pin 45))
(node (ref U203) (pin 2)))
- (net (code 275) (name /periph/Modem.PCM.DO)
+ (net (code 271) (name /periph/Modem.PCM.DO)
(node (ref J201) (pin 47))
(node (ref U203) (pin 3)))
- (net (code 276) (name /periph/Modem.PCM.DI)
+ (net (code 272) (name /periph/Modem.PCM.DI)
(node (ref J201) (pin 49))
(node (ref U203) (pin 4)))
- (net (code 277) (name /periph/Modem.PCM.SYNC)
- (node (ref J201) (pin 51))
- (node (ref U203) (pin 5)))
- (net (code 278) (name /periph/ESP32.VSPI.SS0)
+ (net (code 273) (name /periph/Modem.PCM.SYNC)
+ (node (ref U203) (pin 5))
+ (node (ref J201) (pin 51)))
+ (net (code 274) (name /periph/ESP32.VSPI.SS0)
(node (ref R202) (pin 2))
(node (ref U202) (pin 3))
(node (ref U201) (pin 29)))
- (net (code 279) (name /periph/ESP32.VSPI.MISO)
- (node (ref U202) (pin 5))
- (node (ref U201) (pin 31)))
- (net (code 280) (name /periph/ESP32.VSPI.MOSI)
- (node (ref U201) (pin 37))
- (node (ref U202) (pin 9)))
- (net (code 281) (name /periph/CAM.MOSI)
- (node (ref J204) (pin 2))
- (node (ref U208) (pin 2)))
- (net (code 282) (name /periph/CAM.MISO)
- (node (ref U208) (pin 5))
- (node (ref J204) (pin 3)))
- (net (code 283) (name /periph/CAM.SCK)
- (node (ref J204) (pin 4))
- (node (ref U208) (pin 9)))
- (net (code 284) (name /periph/CAM.PWR)
- (node (ref J204) (pin 6))
- (node (ref U206) (pin 6)))
- (net (code 285) (name "Net-(U206-Pad5)")
- (node (ref U206) (pin 5)))
- (net (code 286) (name "Net-(U206-Pad4)")
- (node (ref U206) (pin 4)))
- (net (code 287) (name /periph/CAM.SCL)
- (node (ref U207) (pin 1))
- (node (ref J204) (pin 8)))
- (net (code 288) (name /periph/CAM.SDA)
- (node (ref J204) (pin 7))
- (node (ref U207) (pin 6)))
- (net (code 289) (name ESP32.HSPI.SCK)
- (node (ref J301) (pin 13))
- (node (ref U201) (pin 13)))
- (net (code 290) (name ESP32.HSPI.MISO)
- (node (ref U201) (pin 14))
- (node (ref J301) (pin 15)))
- (net (code 291) (name ESP32.HSPI.SS0)
- (node (ref J301) (pin 17))
- (node (ref U201) (pin 23)))
- (net (code 292) (name ESP32.HSPI.MOSI)
+ (net (code 275) (name /periph/ESP32.VSPI.SCK)
+ (node (ref U202) (pin 12))
+ (node (ref U201) (pin 30)))
+ (net (code 276) (name /periph/ESP32.VSPI.MISO)
+ (node (ref U201) (pin 31))
+ (node (ref U202) (pin 5)))
+ (net (code 277) (name /periph/ESP32.VSPI.MOSI)
+ (node (ref U202) (pin 9))
+ (node (ref U201) (pin 37)))
+ (net (code 278) (name ESP32.HSPI.SCK)
+ (node (ref U201) (pin 13))
+ (node (ref J301) (pin 13)))
+ (net (code 279) (name ESP32.HSPI.MISO)
+ (node (ref J301) (pin 15))
+ (node (ref U201) (pin 14)))
+ (net (code 280) (name ESP32.HSPI.SS0)
+ (node (ref U201) (pin 23))
+ (node (ref J301) (pin 17)))
+ (net (code 281) (name ESP32.HSPI.MOSI)
(node (ref U201) (pin 16))
(node (ref J301) (pin 11)))
- (net (code 293) (name ESP32.IO27)
+ (net (code 282) (name ESP32.IO27)
(node (ref J301) (pin 9))
(node (ref U201) (pin 12)))
- (net (code 294) (name ESP32.IO36)
- (node (ref J301) (pin 7))
- (node (ref U201) (pin 4)))
- (net (code 295) (name "Net-(U209-Pad9)")
- (node (ref U209) (pin 9)))
- (net (code 296) (name "Net-(U209-Pad13)")
- (node (ref U209) (pin 13)))
- (net (code 297) (name "Net-(U209-Pad12)")
- (node (ref U209) (pin 12)))
- (net (code 298) (name "Net-(U209-Pad11)")
- (node (ref U209) (pin 11)))
- (net (code 299) (name "Net-(U209-Pad10)")
- (node (ref U209) (pin 10)))
- (net (code 300) (name "Net-(C229-Pad1)")
- (node (ref U209) (pin 24))
- (node (ref C229) (pin 1)))
- (net (code 301) (name "Net-(C228-Pad1)")
- (node (ref U209) (pin 21))
- (node (ref C228) (pin 1)))
- (net (code 302) (name "Net-(U201-Pad18)")
- (node (ref U201) (pin 18)))
- (net (code 303) (name "Net-(U201-Pad17)")
- (node (ref U201) (pin 17)))
- (net (code 304) (name ESP32.TXD0)
+ (net (code 283) (name ESP32.IO36)
+ (node (ref U201) (pin 4))
+ (node (ref J301) (pin 7)))
+ (net (code 284) (name "Net-(J204-Pad1)")
+ (node (ref J204) (pin 1)))
+ (net (code 285) (name "Net-(U203-Pad6)")
+ (node (ref U203) (pin 6)))
+ (net (code 286) (name "Net-(U203-Pad9)")
+ (node (ref U203) (pin 9)))
+ (net (code 287) (name "Net-(U204-Pad6)")
+ (node (ref U204) (pin 6)))
+ (net (code 288) (name "Net-(U204-Pad9)")
+ (node (ref U204) (pin 9)))
+ (net (code 289) (name "Net-(C214-Pad1)")
+ (node (ref J201) (pin 8))
+ (node (ref C214) (pin 1))
+ (node (ref J202) (pin 1)))
+ (net (code 290) (name /periph/ESP32.PCM.SYNC)
+ (node (ref U201) (pin 26))
+ (node (ref U203) (pin 10)))
+ (net (code 291) (name ESP32.UART0.TXD)
+ (node (ref U208) (pin 6))
(node (ref U201) (pin 35))
- (node (ref J302) (pin 2)))
- (net (code 305) (name ESP32.RXD0)
+ (node (ref J205) (pin 2)))
+ (net (code 292) (name ESP32.UART0.RXD)
+ (node (ref J205) (pin 1))
(node (ref U201) (pin 34))
- (node (ref J302) (pin 1)))
- (net (code 306) (name "Net-(U201-Pad32)")
- (node (ref U201) (pin 32)))
- (net (code 307) (name /periph/ESP32.VSPI.SCK)
- (node (ref U201) (pin 30))
- (node (ref U202) (pin 12)))
- (net (code 308) (name "Net-(J205-Pad1)")
- (node (ref J205) (pin 1)))
- (net (code 309) (name iMX8.USB1.D_P)
- (node (ref J201) (pin 38))
- (node (ref J301) (pin 176)))
- (net (code 310) (name iMX8.USB1.D_N)
+ (node (ref U208) (pin 2)))
+ (net (code 293) (name iMX8.USB1.D_N)
(node (ref J301) (pin 178))
(node (ref J201) (pin 36)))
- (net (code 311) (name "Net-(U204-Pad9)")
- (node (ref U204) (pin 9)))
- (net (code 312) (name "Net-(U204-Pad6)")
- (node (ref U204) (pin 6)))
- (net (code 313) (name "Net-(U203-Pad9)")
- (node (ref U203) (pin 9)))
- (net (code 314) (name "Net-(U203-Pad6)")
- (node (ref U203) (pin 6)))
- (net (code 315) (name "Net-(J301-Pad40)")
- (node (ref J301) (pin 40)))
- (net (code 316) (name "Net-(C301-Pad1)")
- (node (ref C301) (pin 1))
- (node (ref J301) (pin 183)))
- (net (code 317) (name +VSYS)
- (node (ref C315) (pin 1))
- (node (ref C314) (pin 1))
- (node (ref C313) (pin 1))
- (node (ref C312) (pin 1))
- (node (ref C311) (pin 1))
+ (net (code 294) (name iMX8.USB1.D_P)
+ (node (ref J301) (pin 176))
+ (node (ref J201) (pin 38)))
+ (net (code 295) (name "Net-(U201-Pad5)")
+ (node (ref U201) (pin 5)))
+ (net (code 296) (name "Net-(U201-Pad10)")
+ (node (ref U201) (pin 10)))
+ (net (code 297) (name /periph/USB_RXD)
+ (node (ref U208) (pin 5))
+ (node (ref U207) (pin 2)))
+ (net (code 298) (name /periph/USB_CBUS3)
+ (node (ref R209) (pin 2))
+ (node (ref R210) (pin 1))
+ (node (ref U207) (pin 14)))
+ (net (code 299) (name "Net-(U207-Pad5)")
+ (node (ref U207) (pin 5)))
+ (net (code 300) (name "Net-(U207-Pad16)")
+ (node (ref U207) (pin 16)))
+ (net (code 301) (name /periph/USB_CBUS1)
+ (node (ref U207) (pin 11))
+ (node (ref U208) (pin 10)))
+ (net (code 302) (name /periph/USB_CBUS0)
+ (node (ref U207) (pin 12))
+ (node (ref U208) (pin 8)))
+ (net (code 303) (name /periph/USB_TXD)
+ (node (ref U207) (pin 15))
+ (node (ref U208) (pin 3)))
+ (net (code 304) (name "Net-(J205-Pad12)")
+ (node (ref J205) (pin 12)))
+ (net (code 305) (name /periph/PERST)
+ (node (ref J201) (pin 22))
+ (node (ref SW202) (pin 1))
+ (node (ref C220) (pin 1)))
+ (net (code 306) (name "Net-(U201-Pad17)")
+ (node (ref U201) (pin 17)))
+ (net (code 307) (name "Net-(U201-Pad11)")
+ (node (ref U201) (pin 11)))
+ (net (code 308) (name "Net-(JP201-Pad2)")
+ (node (ref U204) (pin 8))
+ (node (ref JP201) (pin 2)))
+ (net (code 309) (name "Net-(U206-Pad9)")
+ (node (ref U206) (pin 9)))
+ (net (code 310) (name "Net-(U206-Pad13)")
+ (node (ref U206) (pin 13)))
+ (net (code 311) (name "Net-(U206-Pad12)")
+ (node (ref U206) (pin 12)))
+ (net (code 312) (name "Net-(U206-Pad11)")
+ (node (ref U206) (pin 11)))
+ (net (code 313) (name "Net-(U206-Pad10)")
+ (node (ref U206) (pin 10)))
+ (net (code 314) (name "Net-(C226-Pad1)")
+ (node (ref U206) (pin 24))
+ (node (ref C226) (pin 1)))
+ (net (code 315) (name "Net-(C225-Pad1)")
+ (node (ref U206) (pin 21))
+ (node (ref C225) (pin 1)))
+ (net (code 316) (name "Net-(U201-Pad18)")
+ (node (ref U201) (pin 18)))
+ (net (code 317) (name "Net-(U201-Pad32)")
+ (node (ref U201) (pin 32)))
+ (net (code 318) (name +VSYS)
+ (node (ref J207) (pin 13))
(node (ref D1) (pin 2))
- (node (ref C310) (pin 1))
(node (ref L1) (pin 2))
(node (ref C2) (pin 1))
+ (node (ref C302) (pin 1))
(node (ref C3) (pin 1))
+ (node (ref U3) (pin 4))
+ (node (ref U3) (pin 5))
+ (node (ref C303) (pin 1))
(node (ref C9) (pin 1))
- (node (ref C309) (pin 1))
- (node (ref C308) (pin 1))
- (node (ref C307) (pin 1))
- (node (ref C306) (pin 1))
- (node (ref C305) (pin 1))
(node (ref C304) (pin 1))
- (node (ref C35) (pin 1))
- (node (ref C34) (pin 1))
- (node (ref C7) (pin 1))
- (node (ref C41) (pin 1))
- (node (ref U401) (pin 5))
(node (ref R28) (pin 1))
+ (node (ref C305) (pin 1))
+ (node (ref U3) (pin 6))
+ (node (ref C306) (pin 1))
+ (node (ref U3) (pin 7))
+ (node (ref U3) (pin 8))
+ (node (ref U15) (pin 5))
+ (node (ref C307) (pin 1))
+ (node (ref C308) (pin 1))
+ (node (ref C404) (pin 1))
+ (node (ref U1) (pin 15))
+ (node (ref C309) (pin 1))
+ (node (ref C310) (pin 1))
(node (ref J301) (pin 96))
- (node (ref C303) (pin 1))
- (node (ref C37) (pin 1))
- (node (ref C36) (pin 1))
+ (node (ref C311) (pin 1))
+ (node (ref C312) (pin 1))
+ (node (ref U1) (pin 16))
+ (node (ref C313) (pin 1))
+ (node (ref C314) (pin 1))
+ (node (ref C315) (pin 1))
+ (node (ref C316) (pin 1))
(node (ref C317) (pin 1))
(node (ref J301) (pin 78))
+ (node (ref C318) (pin 1))
+ (node (ref U13) (pin 8))
+ (node (ref U13) (pin 7))
(node (ref J301) (pin 64))
+ (node (ref C36) (pin 1))
+ (node (ref C37) (pin 1))
(node (ref J301) (pin 46))
- (node (ref C404) (pin 1))
- (node (ref J301) (pin 10))
+ (node (ref J207) (pin 11))
(node (ref J301) (pin 28))
- (node (ref C405) (pin 1))
- (node (ref R402) (pin 1))
(node (ref J301) (pin 204))
+ (node (ref L401) (pin 1))
+ (node (ref C7) (pin 1))
+ (node (ref R402) (pin 1))
+ (node (ref C41) (pin 1))
(node (ref J301) (pin 186))
- (node (ref C302) (pin 1))
(node (ref J301) (pin 168))
- (node (ref U12) (pin 7))
- (node (ref C318) (pin 1))
- (node (ref J301) (pin 150))
- (node (ref U12) (pin 8))
- (node (ref U13) (pin 7))
- (node (ref U13) (pin 8))
- (node (ref U1) (pin 15))
- (node (ref U1) (pin 16))
(node (ref U2) (pin 4))
- (node (ref J301) (pin 114))
(node (ref U2) (pin 5))
- (node (ref U3) (pin 4))
- (node (ref C316) (pin 1))
- (node (ref U3) (pin 5))
+ (node (ref U1) (pin 8))
+ (node (ref J301) (pin 150))
(node (ref J301) (pin 132))
- (node (ref U3) (pin 6))
- (node (ref U3) (pin 7))
- (node (ref L401) (pin 1))
- (node (ref U3) (pin 8))
- (node (ref U15) (pin 5)))
- (net (code 318) (name "Net-(J301-Pad3)")
- (node (ref J301) (pin 3)))
- (net (code 319) (name "Net-(J301-Pad21)")
- (node (ref J301) (pin 21)))
- (net (code 320) (name "Net-(J301-Pad23)")
- (node (ref J301) (pin 23)))
- (net (code 321) (name "Net-(J301-Pad25)")
- (node (ref J301) (pin 25)))
- (net (code 322) (name "Net-(J301-Pad27)")
- (node (ref J301) (pin 27)))
- (net (code 323) (name "Net-(J301-Pad29)")
- (node (ref J301) (pin 29)))
- (net (code 324) (name "Net-(J301-Pad31)")
- (node (ref J301) (pin 31)))
- (net (code 325) (name "Net-(J301-Pad43)")
- (node (ref J301) (pin 43)))
- (net (code 326) (name "Net-(J301-Pad49)")
- (node (ref J301) (pin 49)))
- (net (code 327) (name "Net-(J301-Pad2)")
- (node (ref J301) (pin 2)))
- (net (code 328) (name "Net-(J301-Pad4)")
- (node (ref J301) (pin 4)))
- (net (code 329) (name "Net-(J301-Pad6)")
- (node (ref J301) (pin 6)))
- (net (code 330) (name "Net-(J301-Pad8)")
- (node (ref J301) (pin 8)))
- (net (code 331) (name "Net-(J301-Pad12)")
- (node (ref J301) (pin 12)))
- (net (code 332) (name "Net-(J301-Pad14)")
- (node (ref J301) (pin 14)))
- (net (code 333) (name "Net-(J301-Pad16)")
- (node (ref J301) (pin 16)))
- (net (code 334) (name "Net-(J301-Pad18)")
- (node (ref J301) (pin 18)))
- (net (code 335) (name "Net-(J301-Pad20)")
- (node (ref J301) (pin 20)))
- (net (code 336) (name "Net-(J301-Pad22)")
- (node (ref J301) (pin 22)))
- (net (code 337) (name "Net-(J301-Pad24)")
- (node (ref J301) (pin 24)))
- (net (code 338) (name "Net-(J301-Pad26)")
- (node (ref J301) (pin 26)))
- (net (code 339) (name "Net-(J301-Pad30)")
- (node (ref J301) (pin 30)))
- (net (code 340) (name "Net-(J301-Pad32)")
- (node (ref J301) (pin 32)))
- (net (code 341) (name "Net-(J301-Pad34)")
- (node (ref J301) (pin 34)))
- (net (code 342) (name "Net-(J301-Pad36)")
- (node (ref J301) (pin 36)))
- (net (code 343) (name "Net-(J302-Pad12)")
- (node (ref J302) (pin 12)))
- (net (code 344) (name "Net-(J301-Pad99)")
+ (node (ref J301) (pin 114))
+ (node (ref J301) (pin 10))
+ (node (ref C34) (pin 1))
+ (node (ref C35) (pin 1))
+ (node (ref U12) (pin 7))
+ (node (ref U12) (pin 8))
+ (node (ref U401) (pin 5))
+ (node (ref J206) (pin 2))
+ (node (ref J206) (pin 4)))
+ (net (code 319) (name "Net-(J301-Pad75)")
+ (node (ref J301) (pin 75)))
+ (net (code 320) (name "Net-(J301-Pad149)")
+ (node (ref J301) (pin 149)))
+ (net (code 321) (name "Net-(J301-Pad153)")
+ (node (ref J301) (pin 153)))
+ (net (code 322) (name "Net-(J301-Pad151)")
+ (node (ref J301) (pin 151)))
+ (net (code 323) (name "Net-(J301-Pad135)")
+ (node (ref J301) (pin 135)))
+ (net (code 324) (name "Net-(J301-Pad129)")
+ (node (ref J301) (pin 129)))
+ (net (code 325) (name /exp/ALT_BOOT)
+ (node (ref J301) (pin 185))
+ (node (ref JP301) (pin 1)))
+ (net (code 326) (name /exp/SD2_DAT3)
+ (node (ref J301) (pin 90))
+ (node (ref J305) (pin 2)))
+ (net (code 327) (name /exp/SD2_DAT2)
+ (node (ref J301) (pin 88))
+ (node (ref J305) (pin 1)))
+ (net (code 328) (name /exp/SD2_DAT1)
+ (node (ref J301) (pin 86))
+ (node (ref J305) (pin 8)))
+ (net (code 329) (name /exp/SD2_DAT0)
+ (node (ref J305) (pin 7))
+ (node (ref J301) (pin 84)))
+ (net (code 330) (name /exp/SD2_CMD)
+ (node (ref J305) (pin 3))
+ (node (ref J301) (pin 82)))
+ (net (code 331) (name /exp/SD2_CLK)
+ (node (ref J305) (pin 5))
+ (node (ref J301) (pin 80)))
+ (net (code 332) (name "Net-(J301-Pad99)")
(node (ref J301) (pin 99)))
- (net (code 345) (name "Net-(J301-Pad98)")
+ (net (code 333) (name "Net-(J301-Pad98)")
(node (ref J301) (pin 98)))
- (net (code 346) (name "Net-(J301-Pad97)")
+ (net (code 334) (name "Net-(J301-Pad97)")
(node (ref J301) (pin 97)))
- (net (code 347) (name "Net-(J301-Pad95)")
+ (net (code 335) (name "Net-(J301-Pad95)")
(node (ref J301) (pin 95)))
- (net (code 348) (name "Net-(J301-Pad94)")
+ (net (code 336) (name "Net-(J301-Pad94)")
(node (ref J301) (pin 94)))
- (net (code 349) (name "Net-(J301-Pad93)")
+ (net (code 337) (name "Net-(J301-Pad93)")
(node (ref J301) (pin 93)))
- (net (code 350) (name "Net-(J301-Pad92)")
+ (net (code 338) (name "Net-(J301-Pad92)")
(node (ref J301) (pin 92)))
- (net (code 351) (name "Net-(J301-Pad91)")
+ (net (code 339) (name "Net-(J301-Pad91)")
(node (ref J301) (pin 91)))
- (net (code 352) (name "Net-(J301-Pad90)")
- (node (ref J301) (pin 90)))
- (net (code 353) (name "Net-(J301-Pad89)")
+ (net (code 340) (name "Net-(J301-Pad89)")
(node (ref J301) (pin 89)))
- (net (code 354) (name "Net-(J301-Pad88)")
- (node (ref J301) (pin 88)))
- (net (code 355) (name "Net-(J301-Pad86)")
- (node (ref J301) (pin 86)))
- (net (code 356) (name "Net-(J301-Pad85)")
+ (net (code 341) (name "Net-(J301-Pad85)")
(node (ref J301) (pin 85)))
- (net (code 357) (name "Net-(J301-Pad84)")
- (node (ref J301) (pin 84)))
- (net (code 358) (name "Net-(J301-Pad83)")
+ (net (code 342) (name "Net-(J301-Pad83)")
(node (ref J301) (pin 83)))
- (net (code 359) (name "Net-(J301-Pad82)")
- (node (ref J301) (pin 82)))
- (net (code 360) (name "Net-(J301-Pad81)")
+ (net (code 343) (name "Net-(J301-Pad81)")
(node (ref J301) (pin 81)))
- (net (code 361) (name "Net-(J301-Pad80)")
- (node (ref J301) (pin 80)))
- (net (code 362) (name "Net-(J301-Pad79)")
+ (net (code 344) (name "Net-(J301-Pad8)")
+ (node (ref J301) (pin 8)))
+ (net (code 345) (name "Net-(J301-Pad79)")
(node (ref J301) (pin 79)))
- (net (code 363) (name "Net-(J301-Pad77)")
+ (net (code 346) (name "Net-(J301-Pad77)")
(node (ref J301) (pin 77)))
- (net (code 364) (name "Net-(J301-Pad76)")
- (node (ref J301) (pin 76)))
- (net (code 365) (name "Net-(J301-Pad74)")
+ (net (code 347) (name "Net-(J301-Pad74)")
(node (ref J301) (pin 74)))
- (net (code 366) (name "Net-(J301-Pad73)")
+ (net (code 348) (name "Net-(J301-Pad73)")
(node (ref J301) (pin 73)))
- (net (code 367) (name "Net-(J301-Pad72)")
+ (net (code 349) (name "Net-(J301-Pad72)")
(node (ref J301) (pin 72)))
- (net (code 368) (name "Net-(J301-Pad70)")
+ (net (code 350) (name "Net-(J301-Pad70)")
(node (ref J301) (pin 70)))
- (net (code 369) (name "Net-(J301-Pad69)")
+ (net (code 351) (name "Net-(J301-Pad69)")
(node (ref J301) (pin 69)))
- (net (code 370) (name "Net-(J301-Pad68)")
+ (net (code 352) (name "Net-(J301-Pad68)")
(node (ref J301) (pin 68)))
- (net (code 371) (name "Net-(J301-Pad67)")
- (node (ref J301) (pin 67)))
- (net (code 372) (name "Net-(J301-Pad66)")
+ (net (code 353) (name "Net-(J301-Pad66)")
(node (ref J301) (pin 66)))
- (net (code 373) (name "Net-(J301-Pad65)")
+ (net (code 354) (name "Net-(J301-Pad65)")
(node (ref J301) (pin 65)))
- (net (code 374) (name "Net-(J301-Pad63)")
+ (net (code 355) (name "Net-(J301-Pad63)")
(node (ref J301) (pin 63)))
- (net (code 375) (name "Net-(J301-Pad62)")
+ (net (code 356) (name "Net-(J301-Pad62)")
(node (ref J301) (pin 62)))
- (net (code 376) (name "Net-(J301-Pad61)")
- (node (ref J301) (pin 61)))
- (net (code 377) (name "Net-(J301-Pad60)")
+ (net (code 357) (name "Net-(J301-Pad60)")
(node (ref J301) (pin 60)))
- (net (code 378) (name "Net-(J301-Pad58)")
+ (net (code 358) (name "Net-(J301-Pad6)")
+ (node (ref J301) (pin 6)))
+ (net (code 359) (name "Net-(J301-Pad58)")
(node (ref J301) (pin 58)))
- (net (code 379) (name "Net-(J301-Pad56)")
+ (net (code 360) (name "Net-(J301-Pad56)")
(node (ref J301) (pin 56)))
- (net (code 380) (name "Net-(J301-Pad54)")
+ (net (code 361) (name "Net-(J301-Pad54)")
(node (ref J301) (pin 54)))
- (net (code 381) (name "Net-(J301-Pad52)")
+ (net (code 362) (name "Net-(J301-Pad52)")
(node (ref J301) (pin 52)))
- (net (code 382) (name "Net-(J301-Pad5)")
- (node (ref J301) (pin 5)))
- (net (code 383) (name "Net-(J301-Pad50)")
+ (net (code 363) (name "Net-(J301-Pad50)")
(node (ref J301) (pin 50)))
- (net (code 384) (name "Net-(J301-Pad48)")
+ (net (code 364) (name "Net-(J301-Pad48)")
(node (ref J301) (pin 48)))
- (net (code 385) (name "Net-(J301-Pad44)")
+ (net (code 365) (name "Net-(J301-Pad44)")
(node (ref J301) (pin 44)))
- (net (code 386) (name "Net-(J301-Pad42)")
+ (net (code 366) (name "Net-(J301-Pad42)")
(node (ref J301) (pin 42)))
- (net (code 387) (name "Net-(J301-Pad38)")
+ (net (code 367) (name "Net-(J301-Pad40)")
+ (node (ref J301) (pin 40)))
+ (net (code 368) (name "Net-(J301-Pad4)")
+ (node (ref J301) (pin 4)))
+ (net (code 369) (name "Net-(J301-Pad38)")
(node (ref J301) (pin 38)))
- (net (code 388) (name "Net-(J301-Pad203)")
+ (net (code 370) (name "Net-(J301-Pad36)")
+ (node (ref J301) (pin 36)))
+ (net (code 371) (name "Net-(J301-Pad34)")
+ (node (ref J301) (pin 34)))
+ (net (code 372) (name "Net-(J301-Pad32)")
+ (node (ref J301) (pin 32)))
+ (net (code 373) (name "Net-(J301-Pad31)")
+ (node (ref J301) (pin 31)))
+ (net (code 374) (name "Net-(J301-Pad30)")
+ (node (ref J301) (pin 30)))
+ (net (code 375) (name "Net-(J301-Pad29)")
+ (node (ref J301) (pin 29)))
+ (net (code 376) (name "Net-(J301-Pad27)")
+ (node (ref J301) (pin 27)))
+ (net (code 377) (name "Net-(J301-Pad26)")
+ (node (ref J301) (pin 26)))
+ (net (code 378) (name "Net-(J301-Pad25)")
+ (node (ref J301) (pin 25)))
+ (net (code 379) (name "Net-(J301-Pad24)")
+ (node (ref J301) (pin 24)))
+ (net (code 380) (name "Net-(J301-Pad23)")
+ (node (ref J301) (pin 23)))
+ (net (code 381) (name "Net-(J301-Pad22)")
+ (node (ref J301) (pin 22)))
+ (net (code 382) (name "Net-(J301-Pad21)")
+ (node (ref J301) (pin 21)))
+ (net (code 383) (name "Net-(J301-Pad203)")
(node (ref J301) (pin 203)))
- (net (code 389) (name "Net-(J301-Pad202)")
+ (net (code 384) (name "Net-(J301-Pad202)")
(node (ref J301) (pin 202)))
- (net (code 390) (name "Net-(J301-Pad201)")
+ (net (code 385) (name "Net-(J301-Pad201)")
(node (ref J301) (pin 201)))
- (net (code 391) (name "Net-(J301-Pad200)")
- (node (ref J301) (pin 200)))
- (net (code 392) (name "Net-(J301-Pad199)")
+ (net (code 386) (name "Net-(J301-Pad20)")
+ (node (ref J301) (pin 20)))
+ (net (code 387) (name "Net-(J301-Pad2)")
+ (node (ref J301) (pin 2)))
+ (net (code 388) (name "Net-(J301-Pad199)")
(node (ref J301) (pin 199)))
- (net (code 393) (name "Net-(J301-Pad198)")
+ (net (code 389) (name "Net-(J301-Pad198)")
(node (ref J301) (pin 198)))
- (net (code 394) (name "Net-(J301-Pad197)")
+ (net (code 390) (name "Net-(J301-Pad197)")
(node (ref J301) (pin 197)))
- (net (code 395) (name "Net-(J301-Pad196)")
+ (net (code 391) (name "Net-(J301-Pad196)")
(node (ref J301) (pin 196)))
- (net (code 396) (name "Net-(J301-Pad195)")
+ (net (code 392) (name "Net-(J301-Pad195)")
(node (ref J301) (pin 195)))
- (net (code 397) (name "Net-(J301-Pad194)")
+ (net (code 393) (name "Net-(J301-Pad194)")
(node (ref J301) (pin 194)))
- (net (code 398) (name "Net-(J301-Pad193)")
+ (net (code 394) (name "Net-(J301-Pad193)")
(node (ref J301) (pin 193)))
- (net (code 399) (name "Net-(J301-Pad192)")
+ (net (code 395) (name "Net-(J301-Pad192)")
(node (ref J301) (pin 192)))
- (net (code 400) (name "Net-(J301-Pad191)")
+ (net (code 396) (name "Net-(J301-Pad191)")
(node (ref J301) (pin 191)))
- (net (code 401) (name "Net-(J301-Pad190)")
+ (net (code 397) (name "Net-(J301-Pad190)")
(node (ref J301) (pin 190)))
- (net (code 402) (name "Net-(J301-Pad189)")
+ (net (code 398) (name "Net-(J301-Pad189)")
(node (ref J301) (pin 189)))
- (net (code 403) (name "Net-(J301-Pad188)")
+ (net (code 399) (name "Net-(J301-Pad188)")
(node (ref J301) (pin 188)))
- (net (code 404) (name "Net-(J301-Pad187)")
+ (net (code 400) (name "Net-(J301-Pad187)")
(node (ref J301) (pin 187)))
- (net (code 405) (name "Net-(J301-Pad185)")
- (node (ref J301) (pin 185)))
- (net (code 406) (name "Net-(J301-Pad184)")
+ (net (code 401) (name "Net-(J301-Pad184)")
(node (ref J301) (pin 184)))
- (net (code 407) (name "Net-(J301-Pad182)")
+ (net (code 402) (name "Net-(J301-Pad182)")
(node (ref J301) (pin 182)))
- (net (code 408) (name "Net-(J301-Pad181)")
+ (net (code 403) (name "Net-(J301-Pad181)")
(node (ref J301) (pin 181)))
- (net (code 409) (name "Net-(J301-Pad180)")
+ (net (code 404) (name "Net-(J301-Pad180)")
(node (ref J301) (pin 180)))
- (net (code 410) (name "Net-(J301-Pad179)")
+ (net (code 405) (name "Net-(J301-Pad18)")
+ (node (ref J301) (pin 18)))
+ (net (code 406) (name "Net-(J301-Pad179)")
(node (ref J301) (pin 179)))
- (net (code 411) (name "Net-(J301-Pad175)")
+ (net (code 407) (name "Net-(J301-Pad175)")
(node (ref J301) (pin 175)))
- (net (code 412) (name "Net-(J301-Pad174)")
+ (net (code 408) (name "Net-(J301-Pad174)")
(node (ref J301) (pin 174)))
- (net (code 413) (name "Net-(J301-Pad173)")
+ (net (code 409) (name "Net-(J301-Pad173)")
(node (ref J301) (pin 173)))
- (net (code 414) (name "Net-(J301-Pad172)")
+ (net (code 410) (name "Net-(J301-Pad172)")
(node (ref J301) (pin 172)))
- (net (code 415) (name "Net-(J301-Pad171)")
+ (net (code 411) (name "Net-(J301-Pad171)")
(node (ref J301) (pin 171)))
- (net (code 416) (name "Net-(J301-Pad170)")
+ (net (code 412) (name "Net-(J301-Pad170)")
(node (ref J301) (pin 170)))
- (net (code 417) (name "Net-(J301-Pad169)")
+ (net (code 413) (name "Net-(J301-Pad169)")
(node (ref J301) (pin 169)))
- (net (code 418) (name "Net-(J301-Pad167)")
+ (net (code 414) (name "Net-(J301-Pad167)")
(node (ref J301) (pin 167)))
- (net (code 419) (name "Net-(J301-Pad166)")
+ (net (code 415) (name "Net-(J301-Pad166)")
(node (ref J301) (pin 166)))
- (net (code 420) (name "Net-(J301-Pad165)")
+ (net (code 416) (name "Net-(J301-Pad165)")
(node (ref J301) (pin 165)))
- (net (code 421) (name "Net-(J301-Pad164)")
+ (net (code 417) (name "Net-(J301-Pad164)")
(node (ref J301) (pin 164)))
- (net (code 422) (name "Net-(J301-Pad163)")
+ (net (code 418) (name "Net-(J301-Pad163)")
(node (ref J301) (pin 163)))
- (net (code 423) (name "Net-(J301-Pad162)")
+ (net (code 419) (name "Net-(J301-Pad162)")
(node (ref J301) (pin 162)))
- (net (code 424) (name "Net-(J301-Pad161)")
+ (net (code 420) (name "Net-(J301-Pad161)")
(node (ref J301) (pin 161)))
- (net (code 425) (name "Net-(J301-Pad160)")
+ (net (code 421) (name "Net-(J301-Pad160)")
(node (ref J301) (pin 160)))
- (net (code 426) (name "Net-(J301-Pad158)")
+ (net (code 422) (name "Net-(J301-Pad16)")
+ (node (ref J301) (pin 16)))
+ (net (code 423) (name "Net-(J301-Pad158)")
(node (ref J301) (pin 158)))
- (net (code 427) (name "Net-(J301-Pad157)")
+ (net (code 424) (name "Net-(J301-Pad157)")
(node (ref J301) (pin 157)))
- (net (code 428) (name "Net-(J301-Pad156)")
+ (net (code 425) (name "Net-(J301-Pad156)")
(node (ref J301) (pin 156)))
- (net (code 429) (name "Net-(J301-Pad155)")
+ (net (code 426) (name "Net-(J301-Pad155)")
(node (ref J301) (pin 155)))
- (net (code 430) (name "Net-(J301-Pad154)")
+ (net (code 427) (name "Net-(J301-Pad154)")
(node (ref J301) (pin 154)))
- (net (code 431) (name "Net-(J301-Pad152)")
+ (net (code 428) (name "Net-(J301-Pad152)")
(node (ref J301) (pin 152)))
- (net (code 432) (name "Net-(J301-Pad148)")
+ (net (code 429) (name "Net-(J301-Pad148)")
(node (ref J301) (pin 148)))
- (net (code 433) (name "Net-(J301-Pad147)")
+ (net (code 430) (name "Net-(J301-Pad147)")
(node (ref J301) (pin 147)))
- (net (code 434) (name "Net-(J301-Pad146)")
+ (net (code 431) (name "Net-(J301-Pad146)")
(node (ref J301) (pin 146)))
- (net (code 435) (name "Net-(J301-Pad145)")
+ (net (code 432) (name "Net-(J301-Pad145)")
(node (ref J301) (pin 145)))
- (net (code 436) (name "Net-(J301-Pad144)")
+ (net (code 433) (name "Net-(J301-Pad144)")
(node (ref J301) (pin 144)))
- (net (code 437) (name "Net-(J301-Pad143)")
+ (net (code 434) (name "Net-(J301-Pad143)")
(node (ref J301) (pin 143)))
- (net (code 438) (name "Net-(J301-Pad142)")
+ (net (code 435) (name "Net-(J301-Pad142)")
(node (ref J301) (pin 142)))
- (net (code 439) (name "Net-(J301-Pad140)")
+ (net (code 436) (name "Net-(J301-Pad140)")
(node (ref J301) (pin 140)))
- (net (code 440) (name "Net-(J301-Pad139)")
+ (net (code 437) (name "Net-(J301-Pad14)")
+ (node (ref J301) (pin 14)))
+ (net (code 438) (name "Net-(J301-Pad139)")
(node (ref J301) (pin 139)))
- (net (code 441) (name "Net-(J301-Pad138)")
+ (net (code 439) (name "Net-(J301-Pad138)")
(node (ref J301) (pin 138)))
- (net (code 442) (name "Net-(J301-Pad137)")
+ (net (code 440) (name "Net-(J301-Pad137)")
(node (ref J301) (pin 137)))
- (net (code 443) (name "Net-(J301-Pad136)")
+ (net (code 441) (name "Net-(J301-Pad136)")
(node (ref J301) (pin 136)))
- (net (code 444) (name "Net-(J301-Pad134)")
+ (net (code 442) (name "Net-(J301-Pad134)")
(node (ref J301) (pin 134)))
- (net (code 445) (name "Net-(J301-Pad133)")
+ (net (code 443) (name "Net-(J301-Pad133)")
(node (ref J301) (pin 133)))
- (net (code 446) (name "Net-(J301-Pad131)")
+ (net (code 444) (name "Net-(J301-Pad131)")
(node (ref J301) (pin 131)))
- (net (code 447) (name "Net-(J301-Pad130)")
+ (net (code 445) (name "Net-(J301-Pad130)")
(node (ref J301) (pin 130)))
- (net (code 448) (name "Net-(J301-Pad128)")
+ (net (code 446) (name "Net-(J301-Pad128)")
(node (ref J301) (pin 128)))
- (net (code 449) (name "Net-(J301-Pad127)")
+ (net (code 447) (name "Net-(J301-Pad127)")
(node (ref J301) (pin 127)))
- (net (code 450) (name "Net-(J301-Pad126)")
+ (net (code 448) (name "Net-(J301-Pad126)")
(node (ref J301) (pin 126)))
- (net (code 451) (name "Net-(J301-Pad125)")
+ (net (code 449) (name "Net-(J301-Pad125)")
(node (ref J301) (pin 125)))
- (net (code 452) (name "Net-(J301-Pad124)")
+ (net (code 450) (name "Net-(J301-Pad124)")
(node (ref J301) (pin 124)))
- (net (code 453) (name "Net-(J301-Pad122)")
+ (net (code 451) (name "Net-(J301-Pad122)")
(node (ref J301) (pin 122)))
- (net (code 454) (name "Net-(J301-Pad121)")
+ (net (code 452) (name "Net-(J301-Pad121)")
(node (ref J301) (pin 121)))
- (net (code 455) (name "Net-(J301-Pad120)")
+ (net (code 453) (name "Net-(J301-Pad120)")
(node (ref J301) (pin 120)))
- (net (code 456) (name "Net-(J301-Pad119)")
+ (net (code 454) (name "Net-(J301-Pad12)")
+ (node (ref J301) (pin 12)))
+ (net (code 455) (name "Net-(J301-Pad119)")
(node (ref J301) (pin 119)))
- (net (code 457) (name "Net-(J301-Pad118)")
+ (net (code 456) (name "Net-(J301-Pad118)")
(node (ref J301) (pin 118)))
- (net (code 458) (name "Net-(J301-Pad117)")
+ (net (code 457) (name "Net-(J301-Pad117)")
(node (ref J301) (pin 117)))
- (net (code 459) (name "Net-(J301-Pad116)")
+ (net (code 458) (name "Net-(J301-Pad116)")
(node (ref J301) (pin 116)))
- (net (code 460) (name "Net-(J301-Pad115)")
+ (net (code 459) (name "Net-(J301-Pad115)")
(node (ref J301) (pin 115)))
- (net (code 461) (name "Net-(J301-Pad113)")
+ (net (code 460) (name "Net-(J301-Pad113)")
(node (ref J301) (pin 113)))
- (net (code 462) (name "Net-(J301-Pad112)")
+ (net (code 461) (name "Net-(J301-Pad112)")
(node (ref J301) (pin 112)))
- (net (code 463) (name "Net-(J301-Pad111)")
+ (net (code 462) (name "Net-(J301-Pad111)")
(node (ref J301) (pin 111)))
- (net (code 464) (name "Net-(J301-Pad110)")
+ (net (code 463) (name "Net-(J301-Pad110)")
(node (ref J301) (pin 110)))
- (net (code 465) (name "Net-(J301-Pad109)")
+ (net (code 464) (name "Net-(J301-Pad109)")
(node (ref J301) (pin 109)))
- (net (code 466) (name "Net-(J301-Pad108)")
+ (net (code 465) (name "Net-(J301-Pad108)")
(node (ref J301) (pin 108)))
- (net (code 467) (name "Net-(J301-Pad107)")
+ (net (code 466) (name "Net-(J301-Pad107)")
(node (ref J301) (pin 107)))
- (net (code 468) (name "Net-(J301-Pad106)")
+ (net (code 467) (name "Net-(J301-Pad106)")
(node (ref J301) (pin 106)))
- (net (code 469) (name "Net-(J301-Pad104)")
+ (net (code 468) (name "Net-(J301-Pad104)")
(node (ref J301) (pin 104)))
- (net (code 470) (name "Net-(J301-Pad103)")
+ (net (code 469) (name "Net-(J301-Pad103)")
(node (ref J301) (pin 103)))
- (net (code 471) (name "Net-(J301-Pad102)")
+ (net (code 470) (name "Net-(J301-Pad102)")
(node (ref J301) (pin 102)))
- (net (code 472) (name "Net-(J301-Pad101)")
+ (net (code 471) (name "Net-(J301-Pad101)")
(node (ref J301) (pin 101)))
- (net (code 473) (name "Net-(J301-Pad100)")
- (node (ref J301) (pin 100))))) \ No newline at end of file
+ (net (code 472) (name "Net-(J301-Pad100)")
+ (node (ref J301) (pin 100)))
+ (net (code 474) (name "Net-(C301-Pad1)")
+ (node (ref C301) (pin 1))
+ (node (ref J301) (pin 183))))) \ No newline at end of file